From a548f96a95362fc8436d3d30f9f668de0f0d75ad Mon Sep 17 00:00:00 2001 From: Danila Fedorin Date: Thu, 14 Jan 2021 19:59:13 -0800 Subject: [PATCH] Add libe writeup and delete useless inages. --- Lab1.png | Bin 81270 -> 0 bytes Lab1.xml | 1 - Lab1/Lab1.jelib | 115 ++++++++++++++++++++++++++ Lab1Detail.png => Lab1/Lab1Detail.png | Bin Lab1Detail.xml => Lab1/Lab1Detail.xml | 0 Lab1/lab1.tex | 28 +++++++ 6 files changed, 143 insertions(+), 1 deletion(-) delete mode 100644 Lab1.png delete mode 100644 Lab1.xml create mode 100644 Lab1/Lab1.jelib rename Lab1Detail.png => Lab1/Lab1Detail.png (100%) rename Lab1Detail.xml => Lab1/Lab1Detail.xml (100%) create mode 100644 Lab1/lab1.tex diff --git a/Lab1.png b/Lab1.png deleted file mode 100644 index 1eafe135556c37f826377cfc659271463296d241..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 81270 zcmeEO_aoK+_rFOy5m8B~h>$(A_vYH0?0v88+BDumC?iT48QD_Vvtcx(L@G0ika4s3 z_q^^^@9OjZ6TbbBJ6^Bn>-l`1a~|iM$2rd%f!0tY+e^C_g+h@jE6Hi2P}zA$s2mP;_MtP=d<5USVr?Al9Bplg*YI-l@|@-7Im^SX%Pq*vC(XkT{|Rt&iU@NX6R)?lx5aD? zD8R`L1F#x6Vr;O!o@a>P+j?2r6UXwx_#63R>~t{R`Wo^wdfM(zS~A3Id~7{D9kCeV zWjy?xJe(rLFTDKRY>A)R*!nnH+ajOx$-{EC<_(W?+?uJKg1U_%zp93*UQv6Bc^SOLw=gO(R^v68j&_fy2#@W}Hz3i{acSos;_ z>|H!{xp}1(1yz}OWqh=F{rq_4W!+VrrLEMxl(9ZuLXOtFLYgAl%8LFzJf6(F3c4x^ z!f>gxkbPB#zvaXJw zrWTK*o{F%gijK3KhN7mii=mU2f||U8zptY`uZya_m%bynfx14%+Xjns@NmE=O1mox zi8%3V3#(%7T9O4SsiwJFmUEpRuyOzLBDXv95xeioTz%mav?gzmSWZqAi~= zpMi*ggDbDBi?uMu4Q(-1>&6diA>(5R`=%hIpl;>i;V?M%LP0!OGeV zqpzyvX|3(4t>Z0hY;5bw>*1gwzzez~ht>;+VS()3+VA1`5F1^%Ahp_Rh8Y{W%!+y1+d=!sv@ecjtYKGMqYY? zSa)S_7gb?PAunlH9-OkT5{w~dC+Fqlsfbo~@>JHULUac`FxdEzmp#VQZY4mm$31QMPyR zfp^LR@J2~f)rMDvUmlSotV&){QQboXEvMyxmiJPUbH}Ra8t@A8C~0!*D)2h#D|)N) z`+0e}qph{{?0BWM?FCibWR#TbrM=kAFg~1|aN2#jCG(m_w!-P?m( z&Cg1c-^mJX1)o{-xbyN@+A8Z}-31I>^kfaS`JIe}Re7Xc)U1`c4K4Ll^>E5A$};vU z8fuz2K1CZNXDuZyXLVj9XE`+uZzpL1EgNG+UN3HpHH>Dj>*wX>;%H-SYi+6J?xb#P ztuCbI>0!ldXzQbd_BZx&SM;`1;k8l~;IZ=(P`CB>GUUSwX$Ywcp|sO~2O~?Iy}q#xzn_MijkBFKY$SZFBOs^X=BMwjuY*<5_lC_w?9<%` z{*%!Y#(H^Lxq0y$DA?$@`eNmHwbX5KE^w2&gCC!+w2ZK&w}P*=wTiBytBf#KP{mV4 zS64v+BZo6o64Vy4_0e+iS8)*515{AZGIDa!7UCDNv32KB6>t+2*7wo#HFo0x0F%Ke zS!ql2!$s;IZpQNRSXmXUmbSaJs;-x!tPhSy)y>+_&D-5jTiuagQP9TG%0X2QCMo0Z zBn+-Rbwjwe|IGfOSG4szqP8d zr<0z(zKD;kw!E&Q9z0ghTEWnh+fW#*q-ucG6S9=%70`9(cF~ zR$_1I$-}EG=jCJRW310(+Doe{=n7j&yK}q91LWB`VvYR;z|f@K`5d{GwfQx4 zT^t3S^fidj)bJ1#GH`JBHg}5j!+IQcIA>(b-O0-xmj~~PZnPO^gje=1n;-pE1&#q>nQ^iiV>wOC#{RS{k@M% zEoFUUY4h0b6PGU8>|vr}+I2CMgYSy^c?w2uj%_?TrF-^2KT5*4jpX8o2j?kL8r7s; zi(fnf@8rlxNOxY8y2jxsBcsIFIKdKZ@jConO~M4*<$|-ZirVf|(gAfP^{bcAnO!!) z4!=y|(yNMgdOkX9#PDR3Rl>rEVW7<#*}~9!&seOGt?azx5jJQMvQ8Zy9==!~&1F&F z8OVsk;S9T29~e8Gp}2kX=E2>FL}qN3<@O_YR(l#S8b*gsH$@#5IKI2yZ#HMV;IzJK z5$T2Okv+(eV$2c;x5MA`sa|U2`w{c*kUCzAqMa9-h7a%Fc;{*&hkV_rGepIMTtBXn zCsll_Xa8X=mucWS$>Sdn4v=D_w(q!rd?_aIbFhTHU+nDcHbxuP*B1wM%m&2;+|YHtte`UUi!re&LBlec-{}ISG16Lv2c?T|ssX?Tgv>B~rFt<;kOzZug$~ zoIRv3i=2FMxa5_kllg~t_m>^VW{=L4k~|KS2$^tiz~S$HY4~%UuU*4T-Dd>(_~KWp zRWFA+Zh!3Y**N>4d0aA=a-d=DWseTkTb|2?VdwGd+JS{6(#YIW&;9#&(x~BzN9+^S z7SrAzZk$F$<97{JLK}8IyXD40pxx2k{Y(_3aL-`gY{U`dX778wG&M~oD>)JGFgv}3 zO86WZaRaClQzqPi6!+w`0WBCm)$SYBWMPcR<(hYX?#EY>$3qlT#gn1f>a&+rDR=kN z4EB|e_q+0Zc5Mkrle*t~qov}EM9bHqryisD3|L3^*}tD`%4kS;nYoXqVrdcckG=1X z3r!}+SjfgsZd46f#tpCwZD^~wHl^_2=Ah=?0D;?RmEZanZL68=l|Rk2@V zkC}?Q<_Z9e^IlL||$Cy0wfs|k_XojCV zr%c<*9Pu==qWx?cwR`4{3yb1*TchpzHQG*CrA1!Xw&_pim7Vjw`$-v29tg~px^K+0 zwIk!dc0_r5)leGyft~~8pWlHI%wh&d%<3gZMn+xcUs|`!`?0dd$EiCQV z!l+vN%Ed7qlb27uPz-It_ofJ8^M&4+^s-!2dvF|Y)_sDYilHlmKVjgORIxB+&Bn)} z3D(1eky`1e0oTg>V6;f?tY(jj8&?N=A;p>;ot|#kte^Fb z-+3WBxcrm*`Hr3{k>Z+iLk-GfG@BLL1t-m^h--8Gxwd<8QrvgvRQ#2*_K==p+xO$e z4qwM+&mNxBM&9>LKO~*D+uDqOZ|IP^FFY48JEAV%kYtzLaG1-ymv)f3ZruaZb8Z=? z$$qHT)dkR8Iq>_I9+45P4>1)~=uRRA{{I`o4*a_zp*tTnG%%>Ds`8vWN4vSP*f2b> zx#4>?CZ@TgL;L&mw0#f`xufya7EEmy78Q+ZYimosc{8HEzJ6(Y5w@p=zTaja=RERj1l?UnU|TFxwJ58ay2$qQBkpFlQh(k^Z4=O zJQ5Q01NA{s@NHrE4&+MRh%E$p_VueRge}QwX|azVKX!0($`{^SJK53MdFbNBi#(E& z49kHMoLpQF=>?J7&?mwek-weyWilw7ot>}0{Cc;hMpW;6_q%sgIyyS|w9Pe^joGx# zXPuq8b8~Z9)iG03Q>|Y;O3TY>F3X&lct?mNvlxknOZ%{<=2%oz6c0cDp|fYtMp)P1 zxN+kMEp22|Q`3i!AFJk9e0_YTg4SzlYGQE;$b&;wh`x%^nuUd>w7%Z_?cNYGGc%Zn zmA7}Nl!HA<;$0?qq96hLWf~5-gb5-ELDE`^vTN0iyx+G z6%x|m)PgvEl&%KR1I7-nt%(T=30d3OonBd4(Jt!je*5;|@bEBxexh6W+&TY8))ME= zMV44JG%qdTyu7@!&W(VO5m|%Cg(W3J9$6y}7_|{gln#CC+qYVUg@w<)e6jKJ@yR*x zT_SLaA|)lo-N)y~JbLK+cMf>m@Y8VeyzMQoU%yViefw%+Vxl4$9kR`Xd0ViMXo`I+ zDk*94mZt91sZ-t$<)`Oqp2I6@*Xv^N@%-61W};LASP$(*rbB|W*LJA7L87n6cn&~RGETQ zC7x6N_(Q%dRZmVL*W1Vw1;9*4NB0a23+zk5#KdGMw{;bHT=)*)ONO5p*VAsu-72g7yhi?!{5HK>g(%USy`PtCn~CQXErP>Ecwoz=%S(` zkZb$afbqlu#f-YcK7mNbrHUFFEYkJmg|@eCY;1IfjePl%B}IiiJ8~-|<1MbJypxtz z;t~!bn(v=KS1v3mY58fM4};B8 zmr(fm``?;JBlo3`6St-_8%)j6+&reVwA2BM)ug*DARy2*IC%b5TbsN@S}d4kVYo~p zNKygbRaI3Tp`*K+laupo_HJFBcyn{Jm7kx;fddB~&?D3~O7}8B2Scmff`jQnBX~qb zk8R(+Jx@P!;`{fj5fKrFMn(|?RD5zu1WXeIipUkjtLtfZ{yd|dy}h-wGyCiI_J>;o z$`QAwt@=RiX%-fpUFPfS>m*zo6L#l64Gg56iCC!UF3?N2jJR@1G*uwBhXfk$FWXad|gfYS&6#3BLAmfdsBx@HJ zj!!*3REm1)>gqhg!bdzkJ+HTq4*&SUJCPwJC-)yxto|=4YF;8rF&|7R7Ay)eEU+(K z_9AZ|pXO)JcYP>&@6TFu--~u4V6j8}JJ{yB>hYATdIgl23$oDXVUiLePR9E9w`O z(=Q9X$i`KW9z6J_u()`1nq)}x+??0k z!a|P!e(ztXDJ&uJnurmdN5dFlT-4_Mii*Q=k%FCN)X50c-=FTiE zEIgp!*_n0c&K*Nj)2kU784iw)%IixjYs(K?4kNcm5b@&*Jo>|j54jGPAA&nX{BU)( z(`7!TJT&XG@o}dw9#!AQ$MYR7zeZ%}MNr!~!d?$XoD&wl^7ZT2Bgc+K!Q-B3X#?2r zKX9PAx7QTnh05C6SN^PTC?GUAmDb(@AHsJEaLG`MZ9qW4)#&J^A3r<*j%~ybA@hOE z1xfY>BmNd);{S+{<@29dDsH!H=T0jhA3?zAA#ku08OVUw4u&zpAF1s%2d)wfQ2{Z; zQ@IC)whs`nbQ-X<5!s8uJw$2qUn?jmK>WF+BsNOh;rwFX$-v-X3B)g$nb{JjNq>zn z&GgsD85mk(86=0+R~PYX%d@)dQS%E6h~(DRmiYkM01nV$u(?aiv-WD5nu=H~Hh(m9 zv^}k5b#ZzaZgmd~yiJ6*TwdZ#kAo>hwWmq8fDj**m!FOaGd3}?#^Hn|f>sW}o*9vE z$D!aae(c@7$TS9tkd5!lh+j^ZCdzWWacs=d#l=OpR^~&E?{p!>PR%#-do!o|>k4p} z-y#CMiU_dt4DoT%e+y9aPXSg}gWr-OtE{e$gn$Pu6ERS*(}*+!m?&bpV8O#+$Xolp zwKEF0)6!brzt?~D`gL<-qf~xkJ@`9oaC_kWhCpy|wfEp(0vz4HfB&=Z-(6f>U7MDd z{oczW%NnF1IIYnA>&vq&i0ecQG5sAF;+t*xLw4!C2%Mr*i4o1x?5O6}Z956eYdi%I z6gfFLX=rG8rMtvJ(bMzcS&6V)I%71W5KG}#<|mMECMR7@ZX#F52EdppT}akKwNZBpFVy2>C-LY97k8zXt3L*xiOvZlasdM`$I!MfbccbB=pwS z7C>d%u`nl8EYX>ucffPO-)Z6Znt(JPKA}PT78@u9El!y=v1egiTntZ8!_|XfL_J{h za&w~qKgc5}NCO)Xk(msU3~qj0kAs8b*}{SkQJX^pYX03IgA#LjknqrG6PPk$(O}sB z#yMm}$8qgVQMV&h)(iNx$Q(=LjePq6?rBrlzJu zYY(j^Mv|<8VMt60DShu&bvz`*7}<5!{?+l;KOtu=&shlGUWk9OF%r#AIe zV28ngNStB4p$Ww873%FhIST=Fc`GRnwfOPwI`Z0K7hIvFL=dW&UrS319;Axf+z1j2 z*%0e`q5nVVi}w9ns25==|0~p75rSF{D*tV*TK{9M>7PNkP4_g@*n&ZMmLHDVBeULA zBu;9Ny}dnAsCtM{WvClZ)tG;t@2l~pE}5w=EjtO5hY z&Ye3)smP3I@_1JPQIjXZRKa9Xn*Ci}dM;RO%abQEwf^&Lw^CB5Bujj~yq-Z)5xBZ= z7RVxEw9=SKB$3I6hlWlDZ*Ck1^}U+JWszg-IR5dWJ$_-bXK74D+No(lZ;j}LX*mw}kR3QFG$x{r)OgaWZ6VT`a+ba(#2D{CwBs*EJ)7EVr1D+rVz zUdn`!mhk|5KeI{D*U)MY MqW3;oJ^1C_Khngb$iDv(Cg`~GY_7~Zo4i8obxS8Z zYax4D!VfFfhHOfJ5vDrX=59qDh$jU=1XCQmekV1x8B`51VW2Ej zjWv;l#l?s8h`*OQNtD&;+js6Xjf~j6di6@q!op&lI<>zp!2U7|O1sKDV*!MmXOKHN zF%fn1=FJbiy;mV~AkG0zbg!+ifZ0N1)!fno;pZv$gtxuDbS36>k(V!D{?Oka1Fi~g zkh=39M%MzUea()lI>M$4)9_+8OvXYnsHBy}>h(sU=i?~pbPoBR1_~c~t*w`52Wnse+C$01ql3oO>!TG-J=}8$En1M?XI7igv z_U+q52->RAghm3#((+qIc;s$bnZQ5c;lm)?(u#^C$T!pTw`9#DCPoL*WrPJo&+l2j zBr&rglOfnOlZTHF4P@Xve0)?vK|y&2O8$V;YfJc3;8_r}6N~(*vH^>n^LuOHBIH`Q zMgIem7qby}6yuG<9YHu5JRbk;+czaT0e&H&mI8wkD@)502wupxMz z@*85QdMwCeLs`E0M?D2AH+aE*4SDZ&Rc^M>H# z`}XZCt*g63NcKZ%31m+oSQ?qCM2hY)Rt%qyu=ZAmi+s;By1bH1;7{)6T-j&5f_me`d`i(HAw#-Vh)l9 z4iBuS1$@E~Y$XwW^$1LB=s6EW2qBv>2t0%7>J%NC1I$J|Eh5z|e;vAO4km_xd~MLG zAOOLQaq*}BY3SkvuugA=6g?p1@#aJ~m&MHXMk;TjsH+zlj{M>WvWPRFee?%;mshbH zP@&)~$+plqWYH7dB|jHEVE^dRqi1j4pgA}>n|~#plmyCCiVT>E2p}jBo&pkIUG~*E zpg6Bl#V6rG z`aHb6l#mCPHZ-Jx+J)})Sl_U*v0<8Djfcc>S`&exX2KQ>UNiGdia2|O}5W_$7ds89sN0n3rN3=A7e@9(y#Kya?Y zVq&jA`2el6h`SyMY1Hr^pzT8hZP_PDyg#fW@?JoN{^JE$2IECjId@V zHxCcWiHQjw0Rd`paq&F;<}vV-;)=T5@BS4m<4{8GUR68`}Z`!Zz2RQ*f%6rsB}Bih6dWBN0rw% zoV>g`SJ`1EO3DO8-)x`XD+B`9uiS>honJJ|@I#Ea4K8roMHpZ66R5~<&KjT80c178 zSp#(q1Xw(RW8?j=Uuz)x4QdYB&J=9%{x^XmBq1Vjv<^Xq#Lj?xfC;gN{1fQOOkgST zN$tOQYyluS5xF=R0%cwwvPqA4ZwQZ>gB#xeFENi0T{Z;9PU_v$g!Gm9 zmxo`WP)EVDUqK`fDbVoOmq~g3FMp8`0wIF{dyVk~>rOv0IW^Vq994~w)ku)>6bz&l z30l@y1h#?}{A%rQbbVi68&RiO2}|U^1RTn5oK@KaHHecC+(sB{@ZkuWU3&vH45X9+ z=6<)NgsUr{@i*>^z*xfKW*7-0b{0W~Z)j{B3BJU^*;#eX)(sfFt5>fgj4x730kcEp ze_2`o+}f%%z8DTb-p>FT@f$bd?a@HmAh8%?&d)#;?}H4IQ-4wKM2Z&8Ly)+@d? zIVq&KR3Uji9UYXiva+R>l}AKHMG=h(y*l~*`!nFMfy$N#WEoma1UiOCMC2$tJG;A= zR|3JiF;Wu;{0f{GFb%KT+gpIl z3qv$UfS~+Rr@=hgKMfUa1rz|<E`zb7w{XhxEyua2DlIC1Kq0BoyBbqd` z58q!*HI}R=wEpPXd$)Kzc7Xn!ZqEv1Et|5=jZuk#<#W?NuO?z|ek@Neye?$Hyaq@CU8dVmb>f%vO{-dbka#&n2oxXnqfAm{3z#vI;h(*8k)bK zy=U6EdJPjGk$XdXIKQmrblK+>auO(P*uL$ z&>;Cob)$l{Y==WxNy+=W%ucFRQY~v7CGT6b*{-o~U=uNXrnP%}fjJ<(aK=_3M5Tyn z`9s+GywMA*7{8#RCI5%1;hp!k;1xVMk`d=G4uW?_oIE@lW(Q{hCyuD`Dc=`HMHG9I2!e6?IG9Uy{iEQi(NhaqUhyO%Zry*}O<5$5b{FYqK?p? zCizeJ2fHPnR11113A7}jC!6`_fb-`Y_YQ#0$Pt+G^v{qjG`)DCqNbst08G&k7qyA8 zv6X`ZE6w4<*7o)+6PD;5w)r~@3O35s; zb518H3i)O7=hm3WUUxKyFOs1nFD7XZzxEH#A@f(+al!G_BmPnTNqis8QE}ZPJ|>KY zfpm@r@7e47Cx$htc1v^ymMAb9j+JJMr^O18!wo{V(6^)OoAP;RwSFl$cP>!!ePB8d zq&5H6BqK(Zw^;JEwZLCx4v>l%cNilIsttdZ#zUlq5s&+XC0#dJtLUs#e7=`$mP(As zgw#xV;-jg(B2xju9sjsGawW=LXyQ>nW7OWhn%bhxo}f1&p&AevdwiEd!hlr;PIZ94 z#eG(6p!RiE*r4p|#nqh`9D(qPecp0wpU`xYcExpDXrScG`gm(4r>6K`-0XVtY*@4B z`Yst@b@Mi*a-jzUDUlZyEpI;o_O+AXHgk0dxWhxhol=&3;ZDD+Zr^eqNk0uDxl#zI zpOnJBYSv5S0;b(xmF(RQ# zDRWx9zGtYBzvrmIUFbCwo9=j(*7MpiPHHA&bTx?Nu}6V=e~#%T|85mr?0m96^b>uY zc+E?};oXy1SZOdG%nB`&k_&<9_@C;nrY47|_h{aKAQ-VpC0*k-(0k3z3P0;CtQmh} z*HM)RFFwj%-civc-5cQ3HRha6@`YP4D++yARJ|@C9zHD%MA9EaeZr0*+ z_T{qQz;fbgVgJ^~f=+KqFS;XI5)>T4`&ptNM21X{Bv0=pWeBKGIu>#!JC!T$pZM&N zezQgQPs{7*2m47+sSH#&w#(y#493e&OuC9K1P(fi{9BuZ`je=IJ=T1yvH%s;Ato59zT|T|1`ZhtIy*ht+algAxql}^+Xp*lYL?5 zZ}o6c7c*&%_ue=sls&b`zvzm`Gaom>2l;lp+VM!9f{xhJT5OjJ6$bUjarEqms-clH zRZ@AXV#qV_omtqRb^9WJ*!hkY7m=oh5CgRvKEaX+pb6Rq5;=aUoZbV>ejGV{lxrEI z+ckS&8XRZOHbF4`sH}`RxNd%v3k+dA32~?C|MZ%EwuS<^9ux3zRA4jE9D=z_K9wN& zM1`_0L5J;y(y`ox>KKp5nrBHbD7P50-BPCVNvxKP>Jy?X;QwCb*T8&7GFBX>Otm{l z;@>9Y{wm(bJL^h^rD9cUE)B`!tT+XhiYh;g8`^AAd2;w8@p2qr zPnLMldj>1$vQVf!lrf8QRf(s!c<+AxSznkbe%-IzQwraHIQE_?V{%iVW=+#f+dv+Z z%h}-96uVFRrX|-bmHPAy7sgG5yjn(8{2gFb{YQYsO5pZzHvf?}V*g4TGs1dVo~clt z)MGbSq0+NGzB?y^@m;f(qaHi`nflZk{V?{JbL`P^^!~v&s-qu4BW3PAWA?_x$UF3+ z2d*lCLtwDKMJ*Kt4Vw>>rxQspECLLh=7sV}Ssa*QB5=_3t^NJx2;mPD zaV9Xu_#_8J8c5*A>7S)WyC(uTHS-e=J@U#H8!P@=R2f0pAT2y zvfpU4#|RpOby?Hw&e0jKI@z}Oy6=wmMezZ0afb9;&;WsS!w`!+l3k`yeMH!KXfQzf z3zVqmH0r~b>vPW6l$LJQP#C8!I7O zM$J^#=xXW6b}^>SO*oX0zCbX3?aC^dW~5flOW3bllL)VvNyvPb-R*mw6&W(?oq zxR96f*+{>q|MbnYiglMd$jK~C-3=4GR7YD5kVb_RFI7hlzwXhObs_d&2R4B8*vs17 z@|N}4gzHtAM^|}9w~O6?P56!R5NLqDg~Iz#B|$S2qFzzDze2h)#*3_F>elYN zwb~e&)GwW)Sd(nRe=sYs(0kf8dtI+E&L-GgHGrX0h7reS$2Kpl>LDrV!-z9*Nwk5^ zcQ2FulFfmd$9B^&gygAK=`l`=h5&NLX6qW7C7o z)yZG%Jk;$Gc7Ef6({F8nhgkE3-E!@?pLze8^{C}qFL1$eS0EZlq(450VEazV=FBfjh@r=I;Qc#h9~Z| zd0F)8rWa?n$biwSUAY2VpbZb7XK)bzkIE#P&==Sk4>7>+EkA3_x)2(vsi}P!imcYA zBiH3iR;E0!H@u))BxlIUSdC>$5PVdvnXW~br%QPyVIQq(5n3-|!qdOIs@88R{`Mj= z>tWIhj^%pAIs9-4#>;#CVN>_@)A`lQrZXRA>pER;91Fph>QSm4wrDYHNp8B$n*AO+ z(@WTerfY^;96MIo+Lm8iNk|om{?QxvY*VsmtBf`~+P+mrgC3uM5WL6nLZRK-+WJ(^ zy?dDz6+-yK>LKg%26KyxEx)&bgmtPE#pxDFWi9!u7SR|)_i%Y1|Eix;qv>V9#_it< zhfMh6N7fQn)>E!4f2#Z;sNDX%jg{;9q>Z?w>bhy&&I<=OZ%4%*w9MY~<)jL>=av`Y z><1FyTv>(OVAMZvlqKx^R~2#d;&#pKmRlo%_B;&fssDDbD1?M;N{$3nsY2@xM3yZO zU_zWp;0!`v*=+6sGO=;{Up+Jyr~eMqpv}TLF-wIxdS)tMHT!N{4at?&!Yuu9zt1PO zKfV&OQ^(ONfl1o1YIjaY59|DD)1r4=Ppf~h0>3_^;dr3L+>K1+kOqFBd~(M11sp!v zSkD*@eG@8@T4POQepi!t<%n(FGDh2DR2_WHo(AFos%5{ic^tA5|BoRg zP@jM^=myD<#wx8{ehX*I2KG`xLI2ScQnEbZ)+(%=+rZh7_~sMY7vh-0Ub;5;CiSGA zq6dzJI*%#hi^`pD?FzFozuHMbjA;4L8}>XTX`I!52?_Vp;unT0pCF}KPM$MF;$r9e zq?)>{mee`-ADgAVk|$h?mp3;j8gh4UlV#_(z%6C;a=m6TgW+nJfE|+2K0)2ryvJRV|2D@-VZWGp?YPRDBlhZ-G#y@drcpvmQ@#x zPKWjt=zVew2(Xa|+{`m)gF%((Rx3+7LWaP;n(D1YCf^Ze^K7s+;? zUZEGdoR-zIU0pdyK_adI82+cG0(UbDk^)CjgXRGGyB%Su()D(0}dNp4@w2Trn z=;`T!9%XH3=YqX^_f7>Ct>HdQ`qTxj>O=J*QtqUF1?1Q$lw;CSK5pd2wM#g$Vkmn> z$Ho@qbH71caxr+~Q)+vz(mc-@dhio?T6dxwAHPOh!qt(l<>i z%JJLxQN}BY+oaDdPsA7MlAQ5fFAgbQmQXY`#>3_>FX)n7h$yyYlkTa>qNGTm41b`; zL`9K6KeCMZ)UGa&^Fyq`^{~vlJL1!;!Tt<4=_qQ)#Dx;ggmkLF?=lo1&@c|m!(U|y+$o?ar* z?{i;Y9)^hBsE?pOy{tc1ll}CmEc6lN)zz5+ncW=41OGc=vE7i0K()9CvmHmH_QY`P z!1rxAxL^`gXn1);L&$XdJvuxY>KeBTu z`d2?;=W~5=u?Ey1jV&y?ySfs)ijc2cJxB@X!Ne++m`*kfl%%H8C*HV0%awoV(4pM2 zGCjzlKK1vPS0m3uN!@_gQ0q&8rZYV>`utiI5c|47sQy@8|7G)x`wkLjb|S7kpvkozy9o`_N>L2^nCN3!#&*Pkd!$i9riRkg5ToyIZvF@Qt4dZ@{J%o# z?S1if%kbx&BFNX|k)e$6Cp5toi*<&Etg%x!zWYEMQ}rWgDmti&ToP&z$0d{izQ)JL z##uAZK4?Hf26xUcK|dnY(9oq<+5 zeE6__1=9EmXAeLzAx7+&nYr)e>>R(c-%L+0J1lG)qQ<3$ug3KW!&(xD?Hz|x$3Xv% zfB&wltQ>*2QgC-K1)5kuNa)`BdQd?Raxtn=8w$wKVW$%iP!kyy6)kCzl#r17@SzUe zc@BVvC@#(Y+oX}JL)^W+v*+i%qpw~?EIs@90dPam;B4oO)SID9n*~NyR9u|%NES{l z-BD0bK$HnW>aA`6Ox$)f6Xo@RX#-8oSQS;(=)=r_`<Q#u$b8=39 z9T}nJl1NBODkv$@gfiwi35jwd2%}OHhlj19(wzt2fCFhx>jt*5tFto;I$)ur;tYK( zQLjSxOCfx)gL|0d~HJriCt7!bNff`Gwju z(L_O?tvXa?^NWiG%~|2d=w(nSeqP?YP_%|uFNgw1HJ$~l1)7&%Oso*xOx6ervKRq= z{w#=Lo2+<+Pg0v(JCu(_O$DZv_H@QvNL$U3|6|*o>Mzl^Z7Tmk12;yyyU)ix^hm)%-NF)Io z#sI^R=b{YH2LzY^n7}uB($Zo0S{X;jJlN4Mi>uTTa)pGer1laQdz6(v7J465!T&-o zr43+!{}Kd_7Fw&Ihan5ZPuv`69Qd-dWC-d3&Q}NV#DTK^jU4AgiAr_?l7KR3gNSX3 zpCb)|Y=CNxFgwOGk^|{L7$nb;MbgJAA_^xiUPe?nAx1(!hM|M6uK`pDfjo}hyKkS! z5Y%gsb)EiW^|Obdy9T)gD$CG_C*#L1N!s=>l&X=%0LSRh_*HR>>zu7SZl z!0jODbAeQG3o}rur63>VGr((53st8Bnbp z+}!EV)H`(_mb&N>99%5Lm>v^zV10c$q#HRe>h0ylO0oOf+FI}U55OcUsJi69^;{h##MY166<(!C|Uw=!qwQo2Dt?I77(V ziP)A?HGLZcJT05+1Dj);8`GN`s{=kYW+0!CHGDY0MVBq~JFsB>QY@waRV>^MqGFu^ zJL_0lss!JXkdopowrpl@UR+-<0cbL|wDe&dildEu_a8pYgw7iH#hKG(7uyjD85!ll z2hdkxhyWXICj_gYL|JenL{`UQmBF?Bi$6vL@SAZVy5{}R1MKAIX9PVW`MJ4DP8VuQ zOYsd_7hzbh4A|1~oPH z`1Ex5j~@>}fgw;vw)6pUO%)&d`nq1dx(ZeOOlb6qX@&j1aZXawfRO^Ns2KiZb~aiz zWOJ!SaxOMx?WwRD9H}h;sNY)bZ}6DP(yjLQs;m5H#GuceaVd_SrC;^&3b>!PL=?=(mqU5%kW!}>|>2q za2T$Desl8^23t-kPb3*m{=iNk;Q7-lhF(=57>?2OpH9)niwJA;WA9j?JKdNd7CUXS z9}s$FGFphy8?YWyF_{7MACv%{hs*9IjM@Wy-b_QZk&Y0LjSg9yI_{eb z+O+FngdD%9=;}AcZTI}W@ZY}|p1iI^TLL#VhG^iRBG=W}7z%->_PNiNK|yz@=;0d_ z!3w2rTv5pUUAH|fEi*vh`1$xU`})iXy4{Y>xjb9A_YA}Zy*7WTt;WA<8?8V@&HJPT z|1D+$4RzgcZU`JcD4e~RpXtA9J2%%!RNF(bE%Pl5AvSCH`aF8iHF$aCxF=+m*9!l1 zm$Tx2ettkXy@vszi3>wOsK(3_2*^PMG&iRU1yN8o19|z&cv5%3Qy_E&h>;l0!)*}G zC=0k9-g}wjc;>TV_scRFSIPMyGW|{&+X81iv%v`q2nwWL>lu!>A}dP{ z!ugfCv3O7~g0RFqA=(98QhZPUG-VwB9D%6p78X#c?{086oUzFkYv4!lmJ$}4%0$51 zR^jgMZV1OUmk&%s2d{g$5X_7}Z|AT~#+!%xn<4Op{VgO@f&G28ID9D*uE`FRXHLJOdwJ=Rf}fr(J&kJ{qE-TQ_gM-HK5{ISxiEh!}S_2E`c1 zC}ip<0srve8GH$@s`LH(8~~4DzdYy{&4WBROe(Z=A54^&hA_P02sFRnx_w(0&NKb^ z@#89s1v?vC=fFS-9McDcBN$*SB}n1%c{HZNL@?!S-hC4!#0z{WfAV^kOrl_lI(+{4 z{Ixq7phB4<;^JM9^@Bs#H8;nIS%6yKgFZ`j4UKVl5D{_K4iklY>)kt@vs_#SaDW3~ zu$ih5xC18_mrlTOh!+WwgUwAhVUCh+_VA~V-K!kqzL&l_5H4$8V({7R!+6IwloU-w z3zEqr&=1O-n3D36tQZ!D^B_Rzp!B-%-LGvaLdwVAY8xBpLkhIK9_-T#P!Ws4ViDHR z%%pDoUtv?-;;$C!+0-iDiCl6NPz2*EL6YOxTgbGLa6?*8g%)Z?VtMFuJ^zC z8_FR|fHz0#s3u5bb22hcKn!q9Goc=bmw~7Z8bjYg(>lDeMIv>^mvWg}a6%mV(XnPOX!5J7i~!Y}r!D^q z6d=g3DRtmyOKWFIdTGla^Sr&?0D=P06DXP$aWV+Ox!2zUh2RR#Bvm-T-sBA-A)N01 z-R@>x|Hp5nhK9h8YMd$3IzUEdWah5|9R-D)Co^TFn)EqVW8$PiaRl8&kN`xCd5pw9>3v7n}gW9H*eCR4;R#_;H6?5 zSQF@1*PA!z=W5D7x_H#|?cI4XvdM}FW4-WW8PNXQ1!s!k%rEB64mT`RAsvk})mr=~ ztd~k9UUFX9d_0f3wi_^IaiJt+oqaMx_}#Xbu3@z`PXhA-vFs!lB9D@m@ceblX=rnP1|o#h?L1uk>3JEGnwn{r^BehbLz$RR9q zgscwQsk`Rq%LptF@{-m4ysiN$02fM1KR+P$q!ZGe`EnnDlvR_wZ;mlK4Sz zS)jyZ<-OcWaa~Cc4&XUCIB@$P01yQz1wd_X25_||2H9hPead$zp!qKo7_FeC8EH`J z+Y}^XR6?%fapSaK)d#T9de@dXKps}vFa$eJ2I_rA*=w=YtYuG%(xLk`uJ;`&Db&1Y z;`ac5i|2W@{~L;lGFk!Iv7R0{)@6QFb3dHn$}cKXhuju^5(R-FRA|HQ@TZ`@9>R1A z)+x~L2k`1-Z{ITBas4wSdHm=Wqc@&@zScdVCrnpf?SF?v0iSwGFvb_#veeafKU=5n-rnd6PFU%ax^Hxr>fM>o>S~}F z|IG0+GqN)GE6>z#4?wj)g)=AuA|iPZApqfXRC7a5S2q{J0SHtHX*yxXaFQ8*Qy}@a z#=W;6nlTkXctcYhLI#;hq$dA?%eOuMz-820)7V%ZoGOQ3TPTT)+&jGdq_$QJ@?>WZ z4{eCND_}?dp*()}c1)S_Sjcw!n>6Qs*A&MHlEAV31O%Cft6}!vGrGyC?s(lelaibzN|BV??X{DWffgiB&|JsZgSxBUD4%ycPlYb; zq3Syu?{eqnA>ieA@$oqx!=lLTfg&RE80y-Jmudr-wc%7U{6qs^R3h?}KmED}(XS&E z4JSjpDU_%nB?2|Rnws)6q(dXw+7AepHWc}36aOU$M~}jJy7`f|V{NZqIfR4^K$@~9 z86l{y?2F@id-^osT)>l(b?>vYIrDuf$!n`}&W#n~!R9^d^P9}8;tY2g*h_YWeh216 zN}Jv~eR+5^`O*NU$Cdl78U6E1^SoynlO0eSx>JVRuHF7cx=%o1t(oEbma7M~LE?#@ zuKpTYnC?dRMB>xW+_a;*`ucFN&2X~pf29|#A42bk~52LH(fMg44G z?wq!mvK?x0RImt+oItK=W@>8KxA$5ZWzl7c_wCA$>~4*PRmyd?mJ(JB0BmZLH z7@;Nu!7AoaMFkwk=MlN1k?9^oNT#84olu+#l!}Aw4?4-e;Bi-5CjSgXLytj*j4(kz z8RfOCK1?^9{VN0FdyNT*&>(S%&gzV@oxC^cuc&s)KKR^tnCq2h(aX7sZHzTQV_Zv6 z+n3u%11dxwskY1Y<`Ig(LeVgkSnb4wGnBi&!S6ifmy{Sl4Gh1l60)eefJF^px#-M* zEa5cOtfxtf9XYK2=G4I71WDXn4(j8pav<`-)OBdR9X#|4G% z82fh5zy7)H=Q-ZqVT~w{4OTn7?Xhkw?dxZugVy8=+j1Bs9F1vu>jl4)giRZmOH zQf%Pn-+7ssUu<1oYssjn_()WTk;bNs9J9_D2+o zjF!=$6j5j=q)-}4T8E@gd++gG&uN{8?~nKMzUp^==XvhuzOVbfulst!=8pH#w-uF0 zx65?c?j02rs}d(ng-w7H`XIH;^9cHY>axdL(Z+nrYdEGAnZye_)oXBIUr4cnx&nm) zXoje456Cq5toJIfq|O?JaM&;)skPb=K(g}JP(ZS@9*4xPNYsI}FJ{KOH5ge}zIVQO z)p4%O^Qw@$QcL(f%3{RtgjfGp@r6-Y8r$Oy43!5f#&Y_W;)VQvGnewf{OVuaN)SgP zy*4CmyR@{9%Z+QUFWE}aKlmep&*9R%uMC3cKf}TEvv)&Nt{*3vDVIGk3#Gbog}^t^ zd%hvc0znF-xuL}wgf<_F^Y~E26#AB><0}2!wummvWIq{~?NfI9civo0u2^HQRlO#x z#7}!}&cQLMigvVp^W@a6^F?o0?np!hCI9!YU+VzbkPz5*wTIVr>>bj6E^BZ9!E7;H z0g4z*(VnZay7G_WEa+p&0Qw+(2Lk(+o*L0c_TGeYbT|lz@H3dSK4`H>X=BPc8a=ea zpZJX=fr`+at_XqUUAELm3B2WWyK*$+ZC^90`H@vRg6aAQbDbavWVc#Bn)jYyr z(M@;vxhvz^p)~ks&uQJmU|^Eo*tX)BI76Q9_6@?r(1{%*u3hNIq&32HbX0jt+2z=$0k0MQ>NSOP9%2kNX* z`93WMl!1}ApQAaGSvTLJav3?#TKQL04O8p(-3+NW6CCS`mYe&x#9YZfXlo!bI* zS0C6iSpoi5OXjT^wpeZ#+2^h1(Z(`~CW^~>O8s!|KfCmMs?*1ZrKfJHBhyn+!y#GU z#4MJqk1K55AmGq>I0A6ou(Y*(f(leqOH0s$2XC!oS4m2$qMeRHRTg|C@^x!d;;s;C zEh{3QxVN2_#>R)ufS20xW|4-61fLMUd$qzb=}BN&9OJy^Ih0#qc!q&6$t*@)3+pd1 z9bCZ+E6ge@3j`1jmJs@Q3=jgfh+S~9=|(v}O+QvNEfnP4uPuW`O^J2rxRt?ExNFxg zf$7t~!Vy3&5pGNA$Gjik=jFN;sSJcLLA+G%mBZs`kK}eMRV^EH#!85}`AOpq#-u@p zFBTl(tir+&wEc>=JQ_?&|NIY>0#sB79jf5rp$;`(6~zgZC{U~i@VW=FkuwT4OfkbwruZ$0bNT>;U+uP@wGk)gKbGIFQr^5FRHEuuKmxNi0)@eO$#>^zjyAbbjbw00&Wa{!>n1v#|-hYb!x0WHeUX}!BSYb zNq;E}#XewZv?g>MKl53EF)FXvNj%m?X#9ToGdnq$r)V{QOP)!b{4u4axhwS&7kdz*{L)`6|~Lpwa~XL^0~hIPUpR&KIA*UgelSs~sb*k81dlhnDc7>Ruas zZV(Enu|fe#IG&|q;Y}Y>IinY12}w~BHRs2Xkw2^pTa(5uM`@0JZid{9OWvOtjYc1< z-aFq@_1JpED&{}Ot~Go@_s57LX!dde_*E=2C2N*X`vslG4AoAYaANOk` zzUGF8&z+>Uwef|p41#WZbLi=~C`X+EdvTxpW5ZG}*&p*FXO_$&--cgjJ_mVnJa-0)y!YDb7_q4}7+ZBbmx zm)0BmS43QL%+}-J*p}#iY1P*^6#_@wQ4!N7CpmYU$PMB04h3`Y=uU^+#38Amyu*gL zLn&|HlY>f3A>FaL*_qf;jtb*1|CQEBeWRM$kerDFeH}|$XZMC>s?_XoJ zM`_8oon&6n~#Ek8O_t8$Hg(J zU|W4dafGZHE#TNtV#M6fkQ*W344!WhVj$@tDrp*@IC0DrHwWqKWT+qUg$dh5rbH4&QF;(pYEznK}sg$}mCp+oENE4@3j z8)@^8@87?oAF~T(b5BpfVw+0%aw8Ug)0xGmaw02?jRIdib&a(?D7@&6(H!@v@~!jp zkAz8dn58i^L$}R0_ek#lR)xY+ldW<@OJPVfK?Z8cB(| z-NC8QeqMS{R7Fuw`)2)3u_`frS&pGw3jL4&MaJ`JzJCxjgyr`C!>>~E`iSTx_#vc! zhW7SaVD6@T`LfcnFef|v)WvxSTG{J@}Wl2_+U%8)Tl8 zC!?GcOGTe+JNNH5lB@B$cP|JODKKe~Wjkxr>kedu>)80LRL=BjaO4&B|M!1xCyHj& zmI|L3|LIQ1$)ck?GL!mCDL)Ft#BWz>PvAqS``5&;YcJbc&ZE{(dKnF9Ts(w4W^t+g zNY0t{QbaVK5+F>B$Q`pObUgrS+}j~P82B~5~X;?L#|&9NkPbaY4?t)BwO z>3v_9{fNJ-hGp_rzY9^ZtM160(DWgcTD2g33G~BU$d#ab7-*D$2|D83ZbSmx8MrsG9 z@yln=d=WL6xtX=v5TEJM$kPk~{GXh5qTArgKOO-lr{cZT{V(ks((sZ=6fQ?CBpg9_6C z#i_4j1>Pb$R>fN`qG;Yzc;A|tS;JObe+}5mvL6KrM_wdXSuUDBaUpuOK*oEkSwX_; zAAYfZej8v}GHceL=gxeWlPBwnCOM*ed9}1^6oTZn9RtSlarRI;`@40c9RC#v*_!+I z?Xa?Xb@}q;D<8HqJB6z023e^6it4d6ePhBwbb@{1r_)PH{Fs16?k>2iEJWvqb`qkrkF`&$qYM zJ%nH$GBU~=8ZJT(ovk}iUMiD+6&$RB(Y_z~`7fDGicRPS4YJfytYcZ&oS>@$4Bi4g zsQ*>gfc}VNOWj)(LSTmoNA9rS*|Y51R8#`}{XZCV{$>Tu-d6{*#6}&hojV_1x-?Em zP;f8P4?gT@uDS>4^esO>I66A|(Q5A2`jQDVIYf;}iIwD2AZH2+3;Wx@Q_|HH*#zni zE!g7JsR!rJk3}>S7ZWQ@t#kWw#4WFC_tNPagac?#Sx2x`D)YQ*(s07yUDDsaJp=uQ z-ZUc}^;C!M&V8hG&{pqPC$n~NdqF=3j1wk2;oK`UQPizS!wcPwGTbB zg!w>7!>-l!_3D^hF0ZcM4L^}Cox)m9IP5i0Hf!|O*3fu_fCrFRR$rgN>=XzWpfibX zaU%vMKnI^$z~555qnAepPHIITM^;IIaOmilhH?fk+C&wAyE8bifVWxv;?0}K2s)@~ z&bayz=wHFHGmx|}Q6TD6M5arugLH=MY=(J|Tac>B02BZb9BK2_oN1sof6L6A11CYc zbcE@!fjHEUq$)X-%&zznXLuOf`@kI*;}9IeMKHZMXdJ@2Lyd!`KZxisl3Z+Y5k3K= zNP;5pp`L0SU{?|jDQ)7ZUaCCu9Ktt*-BTw|nerHk1nep@I{GDXWYktfb3+S@ zUA^-jQaYjHBgRAM)5yD6A4VaG+yv9c-V8M|k!Q$6yM4ZV*~Y_5DmI@DR7%WcnjprB zQprA?Ncw5~fq_UvxIIpDQc_Y@NJ|Ie=b2T-kKDcUP0BWB&mycp+lli23>rBhu5?x| z;uiJ&jV(=0&o|)j<5gKh2ii=7y;0hRLDoQ#3qlJVNEw|TPuNM6)LXbf2sH;6g1lwl zmSOGnu$NzU7bF=ldkIPA+D)c|pkVofgB~-S)Kb5E*`=rV5ZnhszUx1oGky*P4<^tk zUO3RF0C$wu1KtGK6W~z5IIOzmp^UNv*cyFc^HZnLn#Quv_&GGPDg^XcXY~>bKmf;S z2_p>TurY8PR0cXCECg_o3swDt6@h(ch?)U$eO7nzeTcgdO@xc;BR0h?LmCOMlAV%5 z~X4(JK>CbVOmzn>72piPPwh944y6e8r5Cfx0{CmzSUO0}9{1cML^mBaTXR z*i;x--XKLE(;B_0%t;m#sT*P<@yjO-5S+T_vu0!9k6b&6aiBr!_RP>Aj7FfvP4x8< zyveiU-3aKS$yPFF7c^1+Stu^Pw7XVwD6gLcPpMQC60qA@85s{CO)HzUXLxBfO>2mg zsL>b+LqAGm3RrW15PKzOfA2iV6R02eH_}vjiG4W!!%A-x>teGwc1*dqOo4B9eOONP zLQBE}>P8RJ0|{~n(-`OurlLsJc@W- zQ&FMkY=_t?3xU7>WWRWEK;~nJ(qU{OjU35VXI47^kQtKg&!`wWbRe^BV8|l0H=v@8 zPLRxDKLFstA&UA!%lA4`2yCea`*g>X7<-9ks4FiblWp1pp(7Y-j3 z>dZcuO3965%{x8~$vY_F_wWgT5#p#eHogiQ1|r3V&Dlh%4Ms>?+hZg`%88S2E@m=h zYUHY7CnBUFl0>kDFqiX!$+i*unWos2)t{(p4KaXc8Uv(-_L-W!u%WB1)Q3qctDOs| z%NiXe(RR*)N*fF5T(pWr4sXxBi~TWJ1cE)O=C-oyjGVk+j>wm^bdYX%ety1elJ;=7 z@KKz#SyLt*jcyU4%EJ9no8J;FFgWbhG)SnvYQsatzaZ0CwR3@Rk2yN(AgV81uwctE z=hmU)7wEVmErjy|2|35Qv*gqp$X!iF_KG+_6PqP)HKnv6x#ZN0uBS^DEZBiG6j~(a z4i3i5pr!f&ffSn#h2{WK$FT74or57C*f%7lq)b*rDq|SRJvM|>Od8Q-#)7`3ttGC9 za6P)JOq`YrIDvaXq64#a!V8a!`KAE4jTCS}yLh_uin{&D&vq2`^zxy^<_*p<9Y4N8 zX35W_ha*NX51gZkBEq3nfR#kH82IvKR%_zk!D)cL=_q<~TSJ;6K%u_9-UU`{L3#TP zAj@bqd5qF1_H<@4k%hNlb^t}C3Bdu-{2cS|!-2SG2x)>ESi-vmK5#Om1yV4&_4Mf% z1HmK18Wgl(e&_d-CvuipM(MxEy|R5b)J@%rYI1O}dULupD5jwRFCZ+u8*x`%j3Cyo zmUBoCq`)=<%X=uBpfdsF`QfyA`j*Jp*ldtIz>?Udu6}3*uNfk1L`u~!d`X})_R|Mh*0glDd1r|9b^ za*v_0p+kY7woLoOos-&o@O2_BtL{o@NB(Z($(W=ncYlwL%?1b#winbm9&JAYz~CNE zs%_vIzj%S)T+A#(EV!wBhrHJ0SvQq?X^ytGUuwV-M>QYkVjH2os%1O2L=_o=M;97h zf7#pm*SNH|P|yu5wSaD}|QaU`^FcR47H&G-#0dg4JJxTVxK=xOe%!a^85->)=G|1?IvW=&v?sfuE*(Fa5WUVgAD$v5r3R zQKsR%f5(B+kam>;hCaGOgfU0{j?!Op?@KwJl+7h#@4IMEh;{qFN2^by)x@-TgR|IF zmOdwQb;&y&>z`v0Sr{L#&h+2PM(OIo*`_9=&D9h3+Nt5o>TMooiy7vcg!fl`b#>$C z>siPl5w43Ws@RtaGL5rNmk&do%TQXOz@r3j^}j;8FRu6 zoj)Pf1Ww1JB5_4FhG{`_pudr9!G~3VB%giM$69lZBJ*h zw#1*N(!u}D-Vw1W73;e^gYoj;OXrY}mP%f7xpIZHNsylOy;ns2bjFcYa4Z9HAt<#^t1 z@yYcsry3d=?L>(bRRm&p)WXyn#}--mpYoe~bkgCWc4Tpi{dVZUaae#Ee@bU=p?YozE>IHFxrMXjE%=?s;d>k6!21X`=zk` z00Y$9RTaC;3(vnc&MQ^_gsmYbx6rP}=ESN!yLLTB9eGcPRysN0xK~v(_jt2bIp9aF z8|)I~*+7gRz;BJsF}S6_;NMH)cT{e@TOIe457y*ev}tk*l3B*RonI5~#f7>z8*Wu?~{f0Jhv?<&F;HZ!g%3_@&cY#s}FZXTYl}!ktSRbUvZXC;Vb-=oI`|r zho1|4m?}CS2ycoWw#Ip>=w+)aK1Es0?D1Ht^D@Gi^gNvl?4;KsFYj<1rRx_Q#BSmf~?dFX1=n(gVgCVC0Cd1d%3q_RRDIj{%G+4!Uyba9xGg#XR!( zVDBQI)nIMoWk5GP+Mb(9wO#WnlVP@-{cw{jac@%{-tILveyZcxrmr36s9j{=@a1v#%doItk2#5{ zR1#AW!>U%J|C(u}bo6KdTrAO7Vb;ViN1%9wwBZ=kej6@Lg=e(w zZYynS&NHdN(aFSJxtA(x+pVxUjOFrIdHO7YO>+OC(m&^?=}2c6VNQ7{wrOE;NvE`1 z+)d>1vZsax>)rjq`bM~ba>haSGa`O-!0>{d-iqE%bQk01YsP*2!X z%x28xSLk-(8km^+lts3`E{R?K;rkm;*kG(y4?~<NmN8A&zRsiQMO<8HXl%Q3TxW@7Q>IV11}2ca9XFw?8lv^)0iQyv z5@f%^-^gO>IsPc1AsOWWSyQzl;=s~Cq@@}Q^&`#cds7c7)dt}?2{qA{RX-N&vX`0| zUy{_tPJj7GeL?S3f~pb9%G(hLjzNxvi92G4`7w>1JBtkq$ZVrecVj?%iKNqGJhD90 z@_aX9y-NXNYtxeiJ9cUzJNp?*?VQ_0E^?efF-PC?8}%bQvnx}5lUdA%7mipj;v&JVkfJg$5)&U0ms#Z8s@AOIZR zw>!ZCieFa&F+j#lTp)nYEj0l7l@+*Eo8YdBJ~xN-qwtb#@WgRr;**I75w>qBu(~LG zewPY>5DFs|ZAG}Nx*Xs%(?i$s@g`T{JFye+Pcf%xs!P>%F8Q~=!j+#CMn~7+`);Zj#i{U+|&+?#*Bap`o+=? z84nA49P#4*HDf68t?*V#Yy<%5*w?+Ev}A9LUf8sa zW*mCVxe-f}P68%+UQ!p}m)p`1zbKUbAQxAh%W_|q^w5O{URjyeXB~duC);Vf$jP(Q>FEyiS+uIHJJm+P zo0pe+s*F_o>sR9f%?BNMgC9n8@fiR!t4NcPR`WU}2rm%RkSZH#&d9h_sr4^LdX#QQDuGDKf7!b(gh#Iw;F89R9*HM|+~##jnu|ExD_Ldt%HR zul+vQ`Qn`IBx6i zi6OV6TYovXLuitvS+4FKTPdRCTKDh_2*>aGjj2N6@tn8`SLkyLr2H?^(+U zS&8nD={%|ax%wK-N7`jhUIaM$a!;G^F(_q$$bO7Oc}iD0=x2P=LByKqgILT{I9=0@ zDhRep*F-nCFz&%Es9k4u&tWX?+4qrWGggxQz$P9;ht{7LH}KuIX%lL@(7Ijb>z|U? zHOER5ig~&J@PtYf0&k{tkXURFssRoX&RO|T!n_xoi|;VyU~fH@j7_89n_zKU ze;?*@l;^vh(uN5sZIOA(mVp1w@o~nR3U%H&1lmU@iI-A`fTLnas< zJ4Qw(d&h+Ck}db0{nctLE#oas^vV;||7Q7J@2QJRbGY8_(dpV_+}%8>7+d~65A-Jh z6d+fU^BGoQG#$RteS5$*4?g$kM9v4>m%pD_A=G01?a+nb%QFmQ%e#|TQsP7BD(Sxr+FMyszzfp#>7-dSzK5Xes%Y~M; z5kRMEXQ?y*4E)h8i^8sCb#9zF?~ z__$oLXZ5bG)(3aqmlru1bjON*0DP#=1L8*w6a7cIr%ze3w$ou2yV=j2zwm;0<}KL} z`>19ijn7JXdhZ37+t;>;2%h<5HM!wrb<(=tJ$E%LvePfGGtXdCSGtX$K-CDB=-!z9%ttMOF68a zKi8zYTBN6w$8B0qz!UF|OHYDDysCaRB?I0&0IAomN7S# zV@4jTU`Gk;RKCG*oUqJ2uBSFFCiO+7pQd8FSz7b^p0-lqYAkYF-nPx&l;1hnghlO` zHe9wbZUN(Ex0$kH_eG~1CxK4ICmo@oj7!Zur=Cp6D;JUX`@`2AT9>yaRbeqd8zrr^ z>K>8sK%Wkc9l59XQBXix0S3vaKYjVs_u9ORB97L7oLcA-#z{gnw^T={j{a0DI+#>E zb$Mk;$?Knq>Xpa(V$PcZyHGVVrkLFv*;u z^j>PE=-%zJ+{%iAO0t!=f1Zu&csA>8;^e4@2bQfr>^Ot}FxOft>E}TD*9G~z9E>4@ zLPIK`w3B%6o7qm=y$qzEwQt%+UO_;|xt!6{w1~WQL96lX->>iYIIDkv7ddo#^TIV^ zxyH4Znthhe-TU+4X>N-45~HaoY=Tr~qd5oTTtLEp>I-0Ss$onJGmj#-JwqPt9v4z zi2ZO<|F6eQn{A8!#coOE8SH14ULHHUPA|H8)yHcG^e>K`O~r?TUqvXY-QA93$DBSR zBB*)G59Hm$QVVh{;LKVtLs>Pk`$ToT_A*}HZ$c4KMS5a0)IV8$uHDCDs|}IhuN6mP z^KJ*Zb8_$%P7Re^Y?p zf6sNU*;GZ|ujB%GKUEkDx&kzLxBMe=9VmJp24y{?K3a8u;9D;$VECKhbj~qqD2Wl9+zbco9nLK z&tZ!@t5{lM@;fN!H;ta))pj|C?~zFLy4$j9M}Lupql*kUS))R*Ffj=N@wNhz7Ex zL)ATrjtW*DN|Rj00C}A7p#B2d+o2XGy|U41+$={PmX-RSZsM4KD77s^t?TMQf>jb3 z0wWMiz#1HMin^^`!aH;NqL)VbTev>*c7K7v*K*0`2sO*{MLm5#@vM-bRzsw$&H^4B z*?hLI6}}G}6NSd`bq8M}hk+J1B&fTxpQd3tVo`RkK)E)^Ed$?krUS zO8B-Qzq_NfXo-bE<+Fn!FQywTYLp$%^Rz^!vdH#LC|*sc$5q)FNtM^+n2B{l&C+X* z->!}pQ3cAeUhK7vB=+EPl=V*gO|I&xbD0 z<&Ot`{S=>f(xk>_qL#45Jzl$fp_Q*aY80f?$SP61#r+QL6u{yM)e_NmJL>L00 z6VyD_tDjk*BPFIb9#-;A?RaYW$AZM)Gc^}AcDM+*ESgV>eWjXPPhwdH5*>sBwao*C ze)BFyd3gpRK50+DpDOA6?c>Xbnh(#`{`|bxd_2#nPv@6=;*u%+=f-vnh}@6>Rm@Ga7FsVMgOkwTgZ2SFMg_`Q@qAx< z=HVzcI_*fA&VO#lVt!)P6aJ%=7Ni_`0p=az7qoNT0uEkcwUdBg4NeY`PgeFd2Nzhz z*SzH9fcYkhbcP(d5XIZkwr)-N^k4SMHcDy>yL+NYRt+c>e{!HZq?&`=Y(B_Rm zdHv+c>w-$&o#pIjTuY)vw%dwqKH9>3k1cBkQVU#hokyMxQCWhM>|Oq1q$(_cZKWVf zfs)Plk&vLYZ1S}k;LfAN)1MO*3=+ZDEx+tve@!A86K`5ITsxR{5Sj!)PB6PTk*3{-6AG{-XA(czGu=$Hz2h%}Xm_@Di&xC}vne*5v`}qF8uVGaYCP1M90Z!39lqJ+om-YO+Zb(Grk0YUw zz8Lpu7=UF3=j$zS7NTsZ{65x5L1a+-2bSNtCnpyji7fLi6y>vJz*!egg4Ts0ehrBk z=__k+x5u5s69)kSJP`pU(o2S7Sx(;}Se8;-#;aoj+T?L84$YO-<;JRV4?jxcdVe|7 zrvK(1-wi2NtR^Nn>R&G3%u=JG++)se8YeQHtas1l+$I0W6`! zm;degf-I=-gU%r8qttewW*8KmQ-mJY9f^M^+WG<0j|qU75{p9=pY)ff(}_A6;lq6U zR`U4tG}cz;6wK{Ze5|M?jhlL826G2H=%MKtFa_L$NCRp5tG)fp4vYFAU=f)$$l#n- z@;&fypkDJP#0coW_IzSU5(4&3Mi?r`cPk>1AtO%?TO361aD`4FFdS*zfbWJNL;H9A zUycA5a1QY`0$0&oPtN2O9@4i)XHIDVwKsY{f@D6<3U&-Gkn|JXslV8d^>G$BL>t0# zz+p1$uUXrMW(=|S1N>dO>;9*^9;xgVq%H5qT*tY6ro=%xvAUTbEO{XkaH>^pAe=M` z`$J2YNSdRlOE|!ue>s}9!AfB~Y|49jCkhWeEc1-~|Y0 z4=N1-7xpqo4t6fQDMeCv;LE!j3;j^LKd`in5d-SJ2P_?#3R+}T`~u&etpF)yJrCRg zpdaoPB|d8E|KN#-#^|e(Lt``^OaF`l=0MRUR(C|)m7f2XuU}%!;;G+3zN*ht6M76q zGxHcI-o;!bn$o@5CCPy{JowAoItnJGLogBrk$?+mOZTUQl72VO+Lm+r^U6N$Jht~ zU`jfb?YvaU>Cy2)eA_9Ow&C%)`-Wty(jm~Q_pk%RJ8hcGF|ho9h8YmD}Th>yqv8iD#_jU9?FqN9(u z9~nbknFNF8P+5_Tu!tyeS z_`vw&=lA5O+kc54^xwVMt7M{zO+Oc)mx(n~p`Xi;VxdhEuWLjW0_lt#Pc=H3-qxly zb)vuMbo!l%v&T>e#?;JYqj&zrQ%NgWVlYeN33OX90RN*kJ?_1*z8osYWjj8AyY{c8 zHy5qpZ--3UrPu&dHn{10=Nz%YMn6T3*VNJ34G<4kS(x(*{OS!4)6Il5P)ynIEh0MF z{zNz%WvbP;+#T)8**izEk ze>up!r7XF~_uJ3=2yW^j6(j+mMN8eeQl0!{!O-{IFh1zOq>AkDi zEnK;TF(&@WmJSO#`#oKla&aB~0kq%JE*MQA-H4T1ER|)-*SBx8R&T1GYInFE(|+l5 z+}Nnv6oPfNv+Qy}kuQuIQ@dnz#}ps>{X83`#sH57qphIS-)>n6V7w#U;N@)HVsiXi z5};$nxPeEWE2v@fUHX^jsIn#pGsTA)rJCCFs8X_F)1pe@7t~&v6g7X5z^xEHbO#Aa z@Gq%(ExRQopfti%=06;8kfJq4TDa5(Wp<|jGX6|%DsgDhhG@f|m{91kf)i$5nku9( zH6v3Wg=c=*683oAhok$9g*S_($*n5jN@YzSH&{%<_#U2)mXAD7Bo2{OnPq%&LJHCd z&P8n0l%&3;{Y92`5__Qd=;o$0@8ByAO3BZzwe~(nyX1v#+A%WbfwJQD@RWQjC?OUg z&Je0aX8LFFNMoh%&Ol`UzDtCr`C}zjDdBCrUMLTiQQ3f2XcBJ&?5EpslMV5P_AlUOFs9=FDUj9T;?3WW)L1=u( z+evlOMz>ILvBC4tK8N-E%OxL{iZm;A!Nx#jxjr@(TLnrG1O*e#bElXL%q`R8T_oZT(u!(Y-)Oq zZN++FK2r<|NyU$&0ykG?^ZDNROSQr8BkR)68nLu;Fj{Pq;KBX=m*vOcx{bSYeAy`d zvn?gmLblN(gPQX9#{gnnpn;LZ>-h1{9Wuyw0u5HAguK=ly{SB<$qCbW$0H%qE~j_Y zi7L4~`qD1_GKd{koMXWbw4?7US6P*$OaZ&nt>90}R|p7Nptr95=G1v@To*AVxzAEt ziKQm8qe?w$32f=<0)RWmYsrVn$pPTNWfZ?1o*LnX5s(MLDQAS8RK|QjBj}1xF#Ax` z;~>ia6?|giEmh|0MM5K1t{7rMet#)nNJ|cOs)Ns5WgiAtYmeiq0!V;m9{5hDi=I6= zrMk`+#bwd64~WrqI8c6nSs7`g_m$#m;HY3Mwjk2NSqNl5{qqm?e~#U>4a^iFF-}T+ z-srX-zYmNaKq&C;y#>ZVh6wr|Uoi?zkgRwLTpj=j8W|0-*(lE}6u6qv`9b%Q`r`+} zve-}mt(=svIJ0J)=EH+60krF-Uk393<>L$&SbET)y9xo=^6G*pQWfwOuN-qR^If$Y z^!mmBn4bpT192HG_wCat)4!BYJK?x=hqbx!MBL$@)8 zbsKjaVckZ(#r)g^c3M^EAKW&U5?>TjF|}#UnV}_!l!>F8x2Yf)Gv6TJg#yiZ$J@FC zyRel{SZ*mvZZ_=06)SSWlG4w>pMkcN0;rA4o}Hic>97>JaYPg|V{Ekbe{ok_h)+l%jBxu08d75w zuY?8#L8to~uGUzij#``LcQ2lj|7lCGQI7OM(DJKc9JS#uu}nD1t|bKOlxX(-V$5s@ z>(PF_2&HxlpoS=(VUhZ*J-{b|FSmU2 z`OELP=>X3B?iXMc-LRC07U(VBLai_g3wdkLB2L6e%!#enhHR|r#T5z?2ZIKE5I z1#NY(AGdvd8H4e21xNg*(YKh+cSmI-uJi)kL>;BP1imkrjmIr9k8l}<<@Pa@yB`J* zP!7gf|KsolS7qfu{S11^`R4z?v9D9d?r3elSf-BJA{>eC7i$j5FXah5|OwzcT zyu>Zp0IWm@2L~{7zjWM%BPZhs*l_@(jo1`MKb$R90iPCENUT`BI;mP2=`heHlZE?h z`M>cO(YfcI^+;ok`@iVOxicn6%{u3&~rXHGl3j(fpPN?c^vKD`>;C(gNW z?w97MpMH_}PXTtHh0j`NGhQu$^*v8Z{n0maT$j2C&=!X{2)7PF`eAbPeB9R-6cu&P zB$^$AB#15-$4fy(nc$^rPQ*mQ`xA_*#rHg_R2SYe&;96pjt0597Sfm zVN+XUy^&r2bK@D>wR`}3h+_2HzxD)Nrb~2BFab|W`lgF3k8s{j3h}~1oa`&e)#Xm0 zP>^5n6h#NQho_~E*sfLDd;(dpoOP|zs}lw4xEcEIr_3l}cn!WC8asW4f@58z_x z6x?mGkhH1=Y=Sk#{*DYOU0ax)y&F_NP8$FtFy<8k*y#KHI~>ma=2R-7&){)imN{X} zx>M{_Nf`p50F)k4;7q8{!W%&yr|pOgzU4{t1!~>W;{_?Yn5(L7S#@=@dB*y!TPKLg zQ{qOWKI@Q~*-mK3K#jB3+yNJ?lkr~!3BnnOe+~ggqAP*x5V2xdl9jk24yQSD9(ygV zbQK!ittwnJk=xZR|KO|NJbQG7+6NU$Zti3r$~`QpXjKh^QP*hPfT$g7%u9*eRZ4NT zaz&j*7y3`#V}5MMSlte66J#zDAM;ZwXGSxP$3VYCegM859pdpiAg=X09+ILUVK-eI zQgMvsp#HG>`F1SPiHA=%&&31^)*61@;^*7*(K$4zTHxy#;^#@$io{dzXM+fJ^MiSu zD=cxSIcdmsbJ~zaHrms-$hgAMw{jUj2VIo&0zykeE5{{3Csnb{xDL+r3Jy9{4R93<${%{$@)$h1gzM-3Tz85=rH za1AdN$YH;m!&mFhD9h~mDye8ZbD5vkl4YKPpXSEJkz^DSj;k;y7K4CrzDAgZCVkvo z3@@#^C!f8NgQA^kZhd(PvFePE2rhfUt$7zS=Tp;1`vRuIBnXz4k~;wrOwQ!^k3da= zd5{qb(TxkiP~8;!F1;2>N+&TAsoJfqZy8; zUs6%y2}8pL*7CTvaJQA!>Y_$Y%3VIkf~XE4hF~c8k3(0K>CZFRRHQ;jfS&cqWD8_@ zBTavT|R;Egc=gxCN>mRGp*ASx;^GkG$a2%aizbgfZ8I|4q3DC#_Xn3!onwC`~; z;F?wtG$s}n5%Iy7mzpzOg_COi$7d~@_`K0hRKU-=SFh}BZRH&7qTal@V`2gz{T4y0 zFR}2WR}{T>p4NmdHp>#DEr+l1!z7RHG)bMs*4n=e%#c4?+h5**3891>+|qaq5#03h zSlUkgQ7p?;YpA!W*f!v8LP=f$@|6pw_Ksoq;hUj&mDbU|2O4@94#v@j76$+Z&DHej z81jYouq_24ZsaN0>l$~x07Lq<0y}##YV36YL+7$!Br}TU7P{RSw?Ma5=ATc5K_UL{p_3kL1C4Psn)2GOQ zfTYY+K4mb`H|Huj6^q?jtQLGQeDtsf36*qP=w{}qK4*`yaE@y>D|#5 z?om(cA9H3D*x2Ww{;U8S^e}p5ES{U(l-cLG30ugIJ^pd=U2dv2C`ruF+{(&MW(zd% z&bzIkI;UiIDImi5o~pgVnR1&kmJN}bu8Y+{a!2m)3#izDe*!VRgy19r_S=smUuZb7 zPco+QhC+MU9LrB*=!>9)o3ly8;gN@q?PI#d4eebZ`J7ApObK2bWgBWel8P==GXq5# z@nAf#t?5IzT)?`|q4#e2fqW>k3^?@E5e|KloqhR6a(vR#`-96&n(8Rtla}%d10z7N zM2i4=Hgt>o7>ZxNem&yNp80Oe$S|eYAB$&x(DQ&LJW*)-J&AKaMd!zCqMNEhI%Y&P zYbR`)sEHH4Wxm^*=2D~H`9)LSQ8r7d1R5o%2kb#=m0+~CZ@(@qht&y|8ho~EsRj_C zQa<3)0_i706n(3!Y8f+tuiFG!3DoNVy%3e@6G#0fVKFgJG1vo1G22K^^C5X1{T=#z zz~aON08*nvPa^;#Kx6~H;ct+z`yPi~IAGAqwyP;ef8W#`9f}r8R7sE=BD42aaIRn- zr>47)_6qf{h7$K1VsRG~@yUShq$nUg1z)`K&{%379rr)#rANKOU^V&5w4Engsxo9TA!NKJIfoT$2AMLIV=5D}Zj4-_KbCgY4jHA?&OugYE zG;pXv^G8FUetWcSw%Iqh&7}|!CE&~gnV7tFZ9J0@H$IeI3|X>m)3-fM7!g})I)>sL z^fymnvkf{?Gs-wAjl2CRLUpx7tIS^L=dccgC|w`z;~7M=u5X@HK6DtAURYfer`ve_ zCY%hiSBg%9)t#IxN5#KlsSEhj!HSMyR36kC)CK6LM>)0P+b~OvOQVSJ1-h8y6+GZ1 zR$^_VtZJA^>(1+6j^JL8Ktvkos+Bqjy2F z3y#id9l$ErjgST%%8#+z0|NrKlW2XTJ1~sYZx*A$MR6gVKn(Pg!8hcp;}x6+^PhgW zxX|TARF+6j>n2j)c45F6=S005L)kAvzK1dp&Of$bQL84dKqJ$kgCp>#M#BsZIF?fY z=z-m9*X$oz#0hw7q3uwgEJn6_7ou}ZcrB6)p!aX^V#Lk@jsv@Z6{9NOq><3Fq2JMv z_CCsj+u!#k^3W@uX0MA2zK7TVt*qxp#`q!8ls1D1hT(=pj8+De9vkMbzp(hu(6bXE z3=`MMP<1+Fij={K#nGqn4w;FF9Swb?rVY%Y1))EGCM|7feU6?51nBc{E*s@7R9e=C z1pOZKxSO#YccX*D_civ=C_pDQdti+G0kWwxX~`p_>E+|Af>=roM)&pi5r5VMjhaPF zq(iCAhQA{g7}MQe5w>_yjnNid?}rqY+(2VA4km}OYX(nu*fq_-3FrO8K0e^@o^8IBd-CjC|4!I&sH8!6VsnpSUj3!J z*7m|hlC8nY4fbyJ)~PnBb#hiszmq4$ICg159&ClS0^Qa>r-S=BC(Z8b12(SZ@}i>e z1gM*J&}~QRSPtVkc=Tuz@Dgq2iK9m^W*V?juQU~W z_CSzAnp{N`Ud0DN{7{rP&{@I(HeHfg;c#v*d%V0sI_)fIocxD)X!SNN)n49;P9bQS z-OB1l8x1`B-Uvd8-y>HwVnjSB=#%ArAouN%y6o#gNNdb@O9#aWnOka=8E!N}4ncH* z@Eha^s;vUM3K|sm)dC;c-5~8qTzWz-FvGit9I}mZ0W?e9w=}L&d zf5nwyjjeThXaOfheCcDOV|<%@-Q57iI8gBWrOoHFc?8fRB(0Fw@6doso|y$S1Tly2 zfbyoKLdWEe7})vL6Ouq@>vIfG`Qar%dNP$8Wf7b^px~&3i5saGUd};K|kQn#Q}q$+mowE$OhH^ zOuX?!0~bGGSd;Jzks|3shn!qcjZ7`C`J!0BGA4Np5>#6}ZNc!E3&&5yMb_QFQEj1s zh7csra}Y4Vr_Hw>#j2eee$*p^pbzbY$*9S2yH*Y7!;~!x!x;? zY$rCDczGC=X$Yb>{uP1NEAJIVDovRA#dsw)kR z(z3eZByzV2Uhx3tfj3IU6mI(8N-F>CR37Qu3^q0_Nvk3e_JnjuMY5Y1K{&>F<2c5U z`7b~9g_Fk<&Y5p85&%3FWs|fjzNa(A&_`1t$>@1OK|%NEe_~NEd%pL|)mnfMYw}g5)X)qy+FwS#kUVpb62U@|Wce=^q z`X)(qVNt-jy-9KmrKQJWk6d}U4;mA1WW0zR!QBIPVA&9j%dzoD1hpo371+*)k>*It zE5nt5w%wg?z+c&`E{jbC&55w>NM9!Suf`}OB0~70w9C>83b7hRdlye7g(jtaES7P9 zsAWt)IMM^0aK-^G!}K>fujrxa<*n*vHj$wp(tnCV9^VTnb0buLyU(TKjmgDo=;EPZ z@T1uSr6)w-5_4^ewg{pRy%eZCg}MN4* z4WZ^w8cZm==W|2<2Hp`UL-rM4lWh$AVg}SBTwGj;eJJglGJY&NAsBiEdnvEu=?MRz zv|;3iP^)b_nx7&|0KzlLm!QJHV{lZU6z71B3Ge!S2DxP@=NDxaOw zTHuqm2$C^^ym`%+aH9}1xIHi|^suo#8s`{I3h=vh;g<&S2`YTth(4yycq>L*Ln->( z2e&A8Km-6DoK%=lId?Ar;dDL(hO@JeJFyQ_c9AS`k>|^FhFR`xF)BNP>bMpNSJ{a!YSA@ zN+|OD1KCz`^_91r%>#I{AKh2D#*ll5v47Y_Qk_(@B;OguTHIG*9QPeP1X$sAoJZev zWKIFsnFBfIIU@BT$&%-<36!bdy8FH$HDaPkN%TOTBqRa$sCvt#L$8pi#^RyVj*L7L zp1%g;LseS%2yb9zYUQU<;@#Za zTMILbtnTof*|Vmuc40XC(i%El34;hED>KehXk$Oq$NN|~A5Ajm<-9+duYyRB4v*4= z+iG!FCUE$xQG9Qp`=RH7z3oRXWMKtr6f_%A`fSK+^||S)*85QSBgzhhsE&f_6i`D4O|atw z%5P*0NK!Ir?_#h3B}Q>gDTEM?v>Jtiu&%a-;m~z9D2qgi36lVAUEO9K8zFg)KO^rh zYi<2*&Y%^0g(s(;(4!mr$egalFmIsRw^AQL>wkQH9R9fF3~f0%SV-K_@4$7oR~Asz zD;?IOXKNL@yn!#fai7ZaXhQ z3yO3hl-{E*+mC;Dyn6M@2<0_qjpgFj+amx!?UM{F4}(RhF&|fzsKpgiy#x;o{Sb_G zhy`I}P9RM&Y3a>H+!`M-hp3j_mbNd{Dm{tQ<5zIev_0}qYj*~S?tb7%|3ts#kY;B8z$MlR*TJdI;qPkwBAX#?q6gM1;Qpn$x`v_j+1S^5UgZm$C^ z(nT+}w=Qb=6XWC5-Nu(whIg+(qOurVKT^X+W8Pk&=#AdAffKdV65(ER)xBLv+QcU> zWE5Iq(45rsnF3t-2S&bYd^uF+A!7!b!gFou5oY*+6b@Ic(8yQ-9fYUgf|Bb*7-6UP zR|O|E=>%lvMC6HDXEPjcnr2(z-t-M2W9dh7fBcB7Wh4O?F<0*aP97g>~_L0Zd)Q-q(gOvmuh)@*M3EhK1C1lub_Jsr*YcMsDA@7g!Rh|OwHDjKL z1i{z$L7_4|k{6yAyoYz%n?L*R&Crdu#7&f5LgK`hZZ+VK-8?EKwXdxSUt#|bQ?8vD0TAS7W6o%SgAY$1B#Z%ywf?i4; z_q5O~WN4JvMFxbL6>=uLC=dQU|3hX@WUAW~wtOv?Kv9zlAWN=->Mb-;8XALU<_#IC zK{L}@J`&F7*9@51^|aC#U3YrvY;v>zq3E_SXBH&i^$?DU(5y;JEqamswAwGLi?j?} zG(vdJ2I#g#^Ed$v1nw0&%BZO5CWsy#PiGxf&FLUj-9q_k#*GXv?s^f_#l7W`sg z6i!_P(RVmqA}|0E^-RBFfsxxx-~Rs4j$UFa$U)&d9ZOP@lmti>zJnY2ajxKYs>89GLwD zFWXoIbig2x=n(ozO{e7Hx{*6;>VZL6($2z=Tysw$^9E3o*!iUOrrku}9TaznO&WLn zzr8PkYVz9Jei15K6>zAtfJ4OzaHt~6q^(n}(yBNjIG{+8K~UxpPn0Rc5)fJ8wsVi;sjNb)}?VF<&$^{#)de|`V@$XfTd4axhSoOhqSpS}09 z_kM)NpfKIC&QfE{Mu`FRHUuxEp-1b8q+&D%b2`M^HSgor2TSHnGV!RsRGM@2#6iF0 z_7n54!S~xP#|5{Mdy$zH^{-D}3<(Y<6fs$8?&YUFEH;%i^F_KHEpx9(Yk!<_1=VMJ z=gAm@(<;$ikf1(<%pJ7QUojdM0nL2OK=;G23jz$$3ux{ zjsb0OE?mC0u*<%7a~mH}*X#owOQzbZsjIIFI=QlY*okEby(6zQ03+01MDONgEa~E8 z1Y)Eqa1kNU$eoPBnURjadDpysaF5|CtjK{F1}>AFKU7qYDN!{?=om_{ur@ARn4%|~ zznjX2y8+@7s9h!;Q=2-Gn3SIX^;e&rvnaocPEiWarO?G-{9rV#zz<##_g6-QK_+S0r}=PHa_tTk?4Jji!}O_5V|^I zV-qj!5LYbXucEsJ3^J*3?c?kX+_f)w4*I%1Dz8Oo6ai9%N#n&fsKW&Wz_b#_r2S`E z-2!QcLhKaKpLAAd^tbTeofyAE=m|fEp5gOhoiTsM7?qp_w{slV7F&cCQ+sGyQQYfA zD}L2k?c{R9Mofk7M8`zX9??8Cz9gP>4m3Bf;PF@_9JCJoYg)~*-JnY-i6op8SsOKz zv>w)4(yk|O)5Zp5lynY>vfnBk8`I9m#$QAq_u_xulfeaRX}Y@Uy0^Na_X=`_ z%X#^6XOnWP>KZMs`BK^F7|FnaJ71@&DfhmJ-6FPz6}LPpU*1w9UVNnsLfqJ0QEpp* zd*gFC8ysY12PrxZ`9YsSt3kJsmMVWH9yj_U26OFeJVKW}SNiiptY)MrdeHtz-=e70 z;v_ppXttO7yH`)$y-LQ9QBm@Ff_jxyal;mXj#nqEx}K+B7B50mI`ruDH)F*|fX$(3 zubqs$+nuKy6=X7?YuN11#8#7m82}CIwnpR-0uoF}tfwZ+X|*(FO8M@%HP)g!c7X!{ zj>+enUvFY#D!>NcqReoke!K?6f?I)@;6e`i+XK|0o2MMe0jUJ#l#_movdJ0_?7=t~ z?Fy5-xjPoKj8IgVA)ORv%mZu;LAtMA?ctb*hfaGcB>GD!HOziOnUi6)m2C&b38+K&YzK$V9gCUDaCX841s4L7jt`5uaO6Zu@y zN%6~HzTdos6rO`72oSL<$oG2phyLRx3^^bjrrM=8DYQL;SV z5xFrJ`j~(^wa3kEJr;9yrF#z#{|RH`jGsL{H{tdm4#i7VQ9laViC%YX*~C7S;|W-f zJ#uF$p0*2)J0=ZAV|*Oq2+l|IqY6BY-g%b__-yg>6`n!&B%2P9{^q-)MK^|isRhV! zo^5i!=2XT2?%wL6 z<{KRlGa|Wbq>sJB-hL%=J)l3v38s%3JsNaV&;4WlRvK3PgoixP-AkG4iO`8K(h=5v z@$VU~^~(lD)O&guy)!hfuCx7%?@vwJj)D?W$_Y1SZ$_XZvWNdLye(O<(Tc&2XY5r# zo0ZdgklHNLM;$Ed;$o}3@^OlR9#$Ok054lwQh*OJdn;R@LoB-!j*Bvs1g|t;g!4-+G3Z|oFAevFh`Y-OK^cte`Ygg}ZKUN$y@0F)f!x)L4uztk7_@aui z`U`JevXzk-h-r~fmqH1(;lxsOkJ-6U4PpA{K#n-Max;L<>_}PBc6B!YRU^BJL2w1i zqrj5gugjj6pa4>1>$=2)c(1|BIh&%*0Z*3npCD~5$FOv3qVuIOr-Ay?8j_-z<+I1U z&tABqdiK&-Lu}SBGD=O148g8Uu!3VV+F}UTf3mTQU6*z9oGLYAq5Jumcw5|^zsn6M7z{2HTK=(@YC$v-8Y~(`gBIgz4MRR7G&HFbfK}a zF@j|A5nDQCQrTq~B!vTmW|naR{q{4+So}K@pwy}Tarr9xNokdJjn?&pQ``bpO4fbU zyli?QZ< zQmeMOr)#GqE^jmDWS&j=@wNOI4*y<>^-H$bm3?|abI96?I?mcciE(rK1v#mXa=zIi zu=X>^oGX+Cf>p38D8_kyOY-Y!aXsywBYYHk;!-Ckrvk>>W8j5|n@R$N5DW}~axgtE z@8N~E=U1#K>Ia06^3VetOhU(Y_1gJW%`_0l!l7#Am<66pC4swwbRDt$_@7I zSj;Lx)`1M~h_5nLV1!uOqj2Q{l0d|ff(2~$Np%%vqEwL#pm%gUDH%dJ`eP1BnY)+@ zQC2FP2M7!DDj8>LVe#VL&RqbV_!>YbhP@#gPEnR2iijauv$nuCf~1#$pxB$sA3b_> zvEGO#ynBN^tD6gUHZy9Ts+W-_4K1#BC1*!r>VUpi!^ex5_A!9d_)#G|I^7Di4THzP zly7T1Rz&>)&5d*N+lld%^Kp#Gyz_MK^nDXlsSBqv-@yQ0MRgm8OOxZpm-v!QM%zgR zGMtdpf=<L5OwX$X69G`o)Ac_bnmoB|eS|Ob- zwr@))-dt{sWcoS~{%|nXle`Lj6ULJ5`Nm5?&w@Q21()`x^Yum*A?~Ch$c9Q zyl)}w2GfYy5(Xrr!3Gb$6&-xz-t^%*qYkAQ6@&p1ZEI)8FRTc%@8KYoUHeGZ_^wFX z11uCRddp9ww|t8)QxGTbE|$mNU+^>9F;B%{AsAm`MLD)^VCMe(^RX{}pc3B!=RBFs zwmGCt86X;wkKS@%zVD@Lg|{eWw{im$-8upUIq<*9k*G&gd{pcFxp(d>2aP(9C$T*o zDA%v>`@Uj6{1wf~QGfpdvlC+PTPXxer)1WnX~Z#D8AR+by8K0a&fYzH&|5)Xt*k^D z{0+B3zC1t94h1wwH-M=_7KDt0CE;7%=1zeClUg#Tfydic5bpfbld-$KyprCswM&!w zC@{&gcAZ1&WE#5(!zhSA(9r%lo?Weg1AZF&A!_+@+zM1swo1g5M~rCsSF-opN&n1@=eM-we3vuyuD&M_~dP_Ar1c zA$@GjQ;T7?Fc7;QYN;S~b(_$=&7BTZY6q@sYaB$p8`Mk70=mVXwGEhS%=W;n@Gt&|w0Q1bO#6n=yW9&U${#GC zMS4NW)%-W|+#1DFU{yH5>Vji#-Roz2x}SML zQPIsuWo8Wab+kZ3*DoIv`Uzcia5#5%$ScjZtGu!i2Qep|Yu~@W6=r}G;}kgV@){Lt zB(fOop`FasR5ENG@Yvjn+YLFoo=2U7u~*%nH`wh6j9vH^dSn#j@OxjIjUXvQ_z)S8 z>la>M%!6Btxwv>=;PXdh>8qHO-xWK5b2AvGfCB8eK7c-jsK2Ot0x z;2x6OqbRivGlr8Ag;sT#y$o5AHgyQX?2m)b6^`{do!`^;>A-f!2!df`%SLO1h#HS+ z5Xj6Rp~5k_g|b1?*pI^@B^G#%z`ss!Ru2M>CrzB#lCNv@EK=&tf zx~`6&Z_m^V!MKLiWGWImd!YpTRf8vZ%?Q8({>rcKx5WnADCaxJ*P`3xaKJFkEkhdz zV8z!59My~-Kc2j(Tj=w|uUY;&+s|lItQD=H6D%_#$K6p2lfWWT)r!sMzkmOTKu(Hs zyiNGs9mY0$7s-;zjBTrzNPWK>GPP=>{lwB63Y6i(E4Nl?P|o>KnrLDmQx(?0y`(!t zyQ<)+<6l9|#Ua_dkZC6aBIVzpf!_&R7gz1^2D22nw+6bf;d6>+pjUcWM_4Uh>v~KK znb3$jCs_glWBMp6p72mn_=HYVFoYaW^VSng#vy_K=UZM7Yx|IWuirg8MRMbA^zS1> zU&x>Y%vpd&z);+l*A*VZx@i8@{*<2rpmPtKaSBcjDP!WTi4tidXS^Ls0el%myJN#t zF(pb-DR|zk0$B;35Sg%!842$Jg7eW_zNRa&qRa^OH3-7yp*iXm6rtd=Xv;i(tQvl1 z%*QygrP~&FpbXJ9IhRxO_7@1xjkw4}ODeT?7JPi|@!g`jaMK78 zKPm$79r%b0Cj=Xx|~mm>F&ft6t0*du3~(GE9Z;Ha4XR_0bjG8GiTr`@%~!HG0y7&V1KND^tDO zFh`^v{{H>18jgeEO9rCB%OK2vJeb?URiStajtuZ)NKwkbfk^qkcJn3G-)&JX6!{I& z(UES_5{ocaGgxoskP2q$?k_r3c2I?|DD9AGJBW-R*2)GeK!^FJw+y13*FpdZ8wKgF z_}ZJTN!=SaT=W~+K|JR{Qzs_O#=U(l#L;A zoMPql*fmxjVWMK5b~B5Z#JE;LX)xtVV@lLIn1^4Q$55*or%lUW}ebnov3y^vczVbwC3gKqLhBTtzd1l0a)mtq%Z! z%sQMMS8G7|abRT$aTuIUq+QFil^_G;OQ^skROm=)8fx;4G!c^Y`Wwc%C$=RnlAdJv ztmNLNF)H8@kvSX(>EQUgS&>@3w%#n#GMht}GSbOCPIM0^ z;vSb7j4F2;3koLJOTp!kTtl5N+E1c|$vW_DH)GTqn+O_j-ZTX!IaA;RQ`lzVH#eeB zQPl@8n1)<0d_J?MEkyw>O?bp)o1NX`<+>e6)xi|>O~QaL8Gt~>1r|BW9E92Tw4LQ; z66=c^U16NO1n@Vg1et`2q8jvQ2oM@t-)bgD-`LQ}de4j3(mU zk_(3sEGqPy{+hw|uC$EByj>U<(z6ORULFn+*LKRn0)43qJutedM7C#$^7H~#WN**; zT>BQhc|ZeH7HztX9d@23Q{+ylWs#SL#)r|tvw;_aHeEM&)Q7s$=JoI6m)z6&ue3=p ztVZ@ozXCwF-P26XXwS>yIq$lO`A-JhCFnM3N6C~4R(sU;g0bQ1MMQBKM`B&aYJwv<-hgau;WYG`I}rfNn0LE zAfSDk%S5lR0a;lE*y73_3Y)0;+$9DiK#RzyxN>f1_*#X5uEcDhY~*Q*9hAHhISQ5m zAQO>^=;%@9W@3o~WcV}a{vdgC0PsoA$dr!8$NugEsVN5CTmaBH3ZR?3qPbF?F)EmM z|2SYh9w5SZmr%P_V1U2tra>+FRlu3C#-66z8c{jf20^mGWK@Uou zvIS&}-XnbmHyap}v`+KA4td!ullM^sDWLlSf^^5SA1qtC^arK|#rnF()y^?C-Wq6< zrl=FHauJP8&?ExocwUj6%beg^%jetWI}OFj>PdQH*X?lK){5EClUyi3U}OM!YK4}F zy^+qTND>y5^PzjG@}3TK=uSJk=o>VawdB(XQrYN)*?2yE$Vx)Thpn#Ar#G+MRQ?g^ z%d1@Igg!m)%pkhP)ur>G+;ug7a_5E?wcjPLeX!$TPUVH4;#(O+Fx{hkj{4U z*^ooB&#IHpZt7t}{6avd&e_N%qJkO>;Y94mOPTh~I{nz@Ib6`asl{xzE%}4b!R}i! zqE_l5(O=vz+Cy@@Tlyd6I@s&}ca)_YiYI8@`Ur0}rZDIZ@sQ1L>=h0yE7Ss!1z;NbCD zMFuFxR;;E;9GO4VW$`1yBdo*zRqb+Veyy4GquonW@8B2wW>xXB9Ao_NzJ1kn$q^l! zmO1D^r%#1qqj;8AMc#{-H-9oU`rSU_NW@d=LStz)hvqNkdtTa}Gf{FXK*Pl$?Ll|* z<~-}FPU&Hd9a++b7TZDIns&IYa=7#oz2Tb*rl+KZv-mG&qso()*0)xi(r8ribDc?7 z4$a(8H}5yq{K-ATYOZ%5W)@o-Ql2BUHIMCUBz=?MDJIt{sS46qe52=EM(I3~GRmcs z4{IzdbqeQ=gf7Np76d*|;X6#wnaWTVm30{0Mb}CZ+Nn zcq$_r)%)%9m1NbLf1P#i+we=0+*(_Fr^zAJxU8AM%t~LyR7V@VxrL^Qqq~c?-oM7Q z9M(uE*U34<9X@OI@Oh~XcQK8Q{*v5MTG?#=(0R2`FCXte*CVr>dFQ{eru2*dqIE&Xhd{^jOZw_chL5+S|VTZ+=E` z)at=^%Wj-F7!k}ocV|}hq)^R%7t;QVB@_-U51X*(#DU8^K0W!-L$iP*`N~RX66t2- zkwpC>Qr)n#Tf#H#f%BmVvQ4W87&J*u8!9jCiofx+PqkS8;L*B(_pI;s+`8QVx8D}* z>HG2Fp1*z_z5P9l_4juJRro32>S&~y%?w^NdFbJbiD`RztM?zFnX{uA*&8fGG^3H7;J6lGVZ+88OUH5vKqBhRbr za>i4SW0%O9m;Lja#h;!=_4C3a0^Y;_`9^q1v_|Zy_1kx)Ml~mg_g`J_Pc3zs@ue@N zed3_?73=WkVT;Vwhw%p^PjYJM1^mosbr7qQP2za!Hyx zb>*k(<8@D4S5N!npZ6u)^Wj<*W8)vMn19B$7zFspernw@2}LcxY?`S5BBC*E`FGhv ztM&x))@k+IHYwu|o+_0$rD=(MPN{vV%oY3U>RBHTY?5$ABmh)jz=$+;57bD=2&hY`Pr2p>uZe~{A-Q#Z)BOBT7OMywt53B=uh>( z06&n|X$u(F%O8xtOZs4}WZSV$rn0cUAis?ECIYvv6@Po>dU46E_z|iHrA-;8+kypJr=<0!(gC*)3nJ)F%;q_i znSG@bH8VmE8mRSg;#vl-x*1o^QX0JR95L)AaKvl*GEk(v&7$wYb+a|D%vJqvn}rMw ziN;V*qvT-5&tg=+HIL0sy&S9IZ!wjiyH3~MrM>)X| zLi&o`ZC2MN2mQ(U-bZdTQY9r)sk^sox~kO$!?VIYb2%k^+N5ns<9W^@LiVkw#NCsH8dTp;tB$);6FrXmTSX=UT z19PFz{FFMUh{ANGz-4Plkf@N$Gc2V0+sl1TCGSGI;X>s_(?<2n3s#=s9k2TL7D?8r z``?zT`!-!Z((<`Cb2n?LY&7wxi3_r7JenS=JR#tU9B8DuCQAFT<<6>dL!#8htsTZR zd>p6Y>l5^PKfT^hulJ)EjOg`#dcB|j z#gV5tMC+*Q*HUjOQz=)3DJm4fuaavyE2*vPs`hO>4mZF;>Ob(=lKNl33;+5>`PY;g zJcYUqG^;-E|7eZk-4v?Z_~~8K|432#(I)yz6kz2g=7-uLW+PsBkA1lVut$BKH-{?s!qiV|By1W`$UB6v6)Av;kHL&`UPp}JlkT|{-;j%0dt>mTQ6sITHm2gw>k#{!M~Tkmbbbny%*};BiRo8e=SFj%l`o5?yI#c zzFV~J)XQEeZEmNjKmP0-LPkD1eQ`2R2 z=G@udwPjfxeP&Zii)}^ap(=X$+o~dTIxCil8P?1X^!y$czWbHhqhfKnwQo&xWuik} zL6oVlFeh=`>!Jm#3nj)rExAVw?pI#twzOCdA*O98+gfI8y`OPx7n%h`yRZz zTe~0r>uGf!ioMy*R$jBYxjJu(M6Rjd?B|HCaf8D9Cen&@(=+Zfb{2aJiZ4{3XRMwl zGYO|h%CkYTGvGHW=7ciieq|M1EPP@9-ur}LN=@XfqT)SOk%LPb4(N*yH27*cdVb(F ztoS7%CA+6xOf0WBsDGj)yna}kz`3d;{4k|2r>(+ir4#A$O}{j!H6A6~ zjhX3gW(|2~n&b71msG!BUb#L&O-weMSzlW?`!q+A6ck>TAg*VOTc+vx^Y5~+blt$C z`?zkrh8v7g#lB%x#W_jh15Qj&x@FV55fR+Fq@aiUIZ-;P4OQMEW^7~aR$=)r*~ahh zWE_bkHH1HL&vN6r)lEH|kW}BFUNW+}C};M`k&V8om(7(6)h03qiB7iAwu;2^v()7= zXx4mnkK@l#H`o0vfM_CIi_R_PGbBo>n#*>Wjl~$xr%n)qQG<_2HZ?OH|UO$iJoO^)Iq+A-52I+6slD_?&D8CY(4rD zt$`n)Z!*o~rvs++hc7@U); zPR(9#1XYNPQ4s6|MNr{4DzEQBwr`o>SyiUK3BBq-N&XiZgLw_*+*HS$hUh~HKDsp% zrJkc?t1RmL&3NTI>=viJ%$JRMLz^@WH3}v5#k6tNZtoMur9067jxZufw(uVj zq+;{0Fl z5!KxvWv$XN^XA3TxmVPXa7jg%&K(VZ&L)1YR>D->`^^=@4V+Ok+2!e`e4CGC!b^1 zMH=Y_PaNX8ebjpfX5>XI8o2?o=A9`bTzD&o&hW@EPp?tYzZM60q2N)2z!3JGGC zc{uT!ev5c_bW3V@L62IcZrJ_HI}?uW<8hoMEe+(CzF6C&XIxYDdabI{ft=;l=lAg< z=~glgYG$j5XSe<9KBM4%L)^WseQmw1N3`Ts=nWd6RbT&zooeEd6Ui8#THpGdpLIgU z7v4nvUN|T9WMg2wDOcCLPs%rM7tYS}7UVP>)fwL7#^iXNMxii8b=IhIaNz-jE(@H? z9>>f>vVTxHolNKMnKF&c+tm4F$$k@M1W!VidzWp`g*4ee{A_2*qyO?_GH0ahv{cXN z^!xkDgop}%&`FB7$rKkW_MaC^eiHRqaZR#!CbcFWXj!k(3=XE@Y+#%S_`V)4trz#^cmoQ`IwnQcD2w$&0nC&!WlwRW_}X ziTwZ0cLbtEa$*wMj(lUastFo4ar`3#WgCS5GY_uZ=P|-x`}!(Vo3{5n^7aR$C-+m? zTlN7b6PkYpW>NiBDfMgdiJ0(+5@{1i*A<$O-(wNj9i=h0p z{k2=)rYM#E?a>BGdwK6IdN+#dl_;E!-g7}wy$YmP)8Z)f+6#c{PKH&Y)?qaLyg$p# zid7DpjzBSg*VjT*e~c3B`r72&XHnNr&Y2U-Q#puw?yj#i8udr+$S_-*!DzdRz}Ax0 L-^DE6cJTiITvh&^ diff --git a/Lab1.xml b/Lab1.xml deleted file mode 100644 index dc6d5fe..0000000 --- a/Lab1.xml +++ /dev/null @@ -1 +0,0 @@ -7VtZj9o8FP01PE7lbCbzyGxd1E2i6ve1byZxglsT02AG6K+vQ2yy2ECqJkAbMtIovt7ic27O9RIGzv1s/TJF8+k7FmI6sEG4HjgPA9v2oSf+Z4ZNbnA9mBvilIS5ySoMY/ITSyOQ1iUJ8aJSkDNGOZlXjQFLEhzwig2lKVtVi0WMVnudoxhrhnGAqG79j4R8KoflgcL+CpN4qnq2gMyZIVVYGhZTFLJVyeQ8Dpz7lDGe383W95hm2Clc8npPe3J3D5bihDep4D+vXn/7FMbrrz9uRj+TOHoD8Y1s5RnRpRywfFi+UQikbJmEOGsEDJy71ZRwPJ6jIMtdCcqFbcpnVKQscRsRSu8ZZem2rhN52Z+wL3jKvuNSDtxeWQ2W8JI9v7IaCq6sV/mUOOV4vXf41g5U4YyYzTBPN6KIqqB4kI5ouzK9KmiFyjYtU6oqIulK8a7tAm1xIwH/DfDtjsGPIjsITOCHcAI92BKu8DiuHjTg6ncFq9syrBVPrGEcIuxHRoxh4ONJdDqMfQPEblcQe/8cxLtX/1Ighv8cxI5/HOKd2J4EY/+EGGMr9PDQhPEtHDqoJTW261HO0TF2jVGuK4yttqPcQUf2ARg6JpBHHgAu0Ocb0fZqCfy6htgGBz8t+E5vwPfAxYF/SgkH26ul6UZNqHfpsogYkISdITn8S5GE8NKQPGXIsybIwrZJEACAj6OnbgWhPqPbTaKPzOi6W+61vdi+XOzrC5bzY2+ahUAqur0LybO4jbPb+c1q21aeIToq5WlUCXR4jY8K1AlLcI0XaUKUxIlIBgJNLOx3GdYkQHQkM2YkDLNujA5QdZG2t0nc+gTytplidcecaQqjMZcM7Lsrb2XevHPzZtqGufJ2bK51ft5MeztX3o7N7M7Pm68hj8MYj2WSpXzKYpYg+lhYaxgVZd4yNpdkfcOcb+S5DFpyVqVSoJVu/s/qv/BU8otsbpt4WFdSmwoD2QMexl+Mhy3TAB8auFwccJTGmB8o6JsJTTFFnDxXH6R9evQlzJh8UK/QYjlR749deq1K5p68VvUwZp19+nHbRA4/MrpZEEoCJoQRqAKTtGCvx1KpcXpuqXRMi4G6dibhKDs+zjClaLEgQU341oSXdE+kvpRyCtXLEkr0LkEsbxuKZb5zqHNaPtUzcKZsjUVV9vCRETGU/UcFXs0X8oHKWoU7aA25Tq0hUGsoB0JraOtXu2H/gas12IDtvavZV1drw9UaTdzHOW3205gk32/eYY6yhgVq4DNBvQ5TTn3PytLDlGX6kKG7ONXgAKFT8bAq0lEoSffioZz5uHjAq3i0IR4NTli6jVN/gasNr67WhquZjqC0OFWKTD2OSa5V5Wpo+GbxpBHJtBrux3RWue1RmdhH6Xlkwhq2JBPaZ7Ady4TaEbi62oGItGdL8+pqv+dqpoN5LSK9fP/Q61ikfRVk+rTwlMHINW3jabR9WPL5kveaOe0rpO6YE8niFy35+1n8LMh5/AU= \ No newline at end of file diff --git a/Lab1/Lab1.jelib b/Lab1/Lab1.jelib new file mode 100644 index 0000000..2cf366a --- /dev/null +++ b/Lab1/Lab1.jelib @@ -0,0 +1,115 @@ +# header information: +HLab1|9.07 + +# Views: +Vlayout|lay +Vschematic|sch + +# Cell Nand2;1{lay} +CNand2;1{lay}||mocmos|1610136809876|1610479742026||DRC_last_good_drc_area_date()G1610138667832|DRC_last_good_drc_bit()I18|DRC_last_good_drc_date()G1610138667832 +Ngeneric:Facet-Center|art@0||0|0||||AV +NMetal-1-P-Active-Con|contact@0||-6|7|5||R| +NMetal-1-P-Active-Con|contact@1||-15|7|5||R| +NMetal-1-P-Active-Con|contact@2||3|7|5||R| +NMetal-1-Metal-2-Con|contact@3||-15|11.5|||| +NMetal-1-Metal-2-Con|contact@4||3|11.5|||| +NMetal-1-N-Active-Con|contact@5||-13|-40.5|5||R| +NMetal-1-N-Active-Con|contact@6||0.5|-40.5|5||R| +NMetal-1-Metal-2-Con|contact@7||14|4|||| +NMetal-1-Metal-2-Con|contact@8||-6|4|||| +NMetal-1-Metal-2-Con|contact@9||14|-37|||| +NMetal-1-Metal-2-Con|contact@10||0.5|-37|||| +NMetal-1-Polysilicon-1-Con|contact@11||-10.5|-4|||| +NMetal-1-Polysilicon-1-Con|contact@12||-1.5|-4|||| +NMetal-1-Polysilicon-1-Con|contact@13||-10.5|-30|||| +NMetal-1-Polysilicon-1-Con|contact@15||-1.5|-30|||| +NMetal-1-Polysilicon-1-Con|contact@16||-1.5|-30|||| +NN-Transistor|nmos@4||-9|-40.5|7||R| +NN-Transistor|nmos@5||-3.5|-40.5|7||R| +NMetal-2-Pin|pin@0||10|4|||| +NMetal-2-Pin|pin@1||9|-37|||| +NPolysilicon-1-Pin|pin@2||-3|-30|||| +NPolysilicon-1-Pin|pin@3||-9|-30.5|||| +NPolysilicon-1-Pin|pin@4||-3.5|-30|||| +NPolysilicon-1-Pin|pin@5||-10.5|-30.5|||| +Nartwork:Pin|pin@6||-24.5|-43.5|1|1|| +Nartwork:Pin|pin@7||12.5|-43.5|1|1|| +NP-Transistor|pmos@0||-10.5|7|7||R| +NP-Transistor|pmos@1||-1.5|7|7||R| +AP-Active|net@0|||S1800|contact@0||-5.5|6.5|pmos@1|diff-top|-5.25|6.5 +AP-Active|net@1|||S0|contact@0||-5.5|6.5|pmos@0|diff-bottom|-6.75|6.5 +AP-Active|net@2|||S1800|contact@1||-15|7|pmos@0|diff-top|-14.25|7 +AP-Active|net@3|||S0|contact@2||3|7|pmos@1|diff-bottom|2.25|7 +AMetal-2|net@4|||S1800|contact@3||-15|11.5|contact@4||3|11.5 +AMetal-1|net@5|||S2700|contact@1||-15|7|contact@3||-15|11.5 +AMetal-1|net@6|||S2700|contact@2||3|7|contact@4||3|11.5 +AMetal-2|net@11||1|S0|contact@7||14|4|pin@0||10|4 +AMetal-2|net@12||1|S0|pin@0||10|4|contact@8||-6|4 +AMetal-1|net@13||1|S900|contact@0||-6|7|contact@8||-6|4.5 +AMetal-2|net@14||1|S0|contact@9||14|-37|pin@1||9|-37 +AMetal-2|net@15||1|S0|pin@1||9|-37|contact@10||0.5|-37 +AMetal-1|net@16||1|S2700|contact@6||0.5|-40|contact@10||0.5|-37 +APolysilicon-1|net@18|||S900|pmos@1|poly-left|-1.5|0|contact@12||-1.5|-4 +APolysilicon-1|net@19|||S900|pmos@0|poly-left|-10.5|0|contact@11||-10.5|-4.5 +APolysilicon-1|net@22|||S1800|pin@2||-3|-30|contact@15||-1|-30 +AMetal-1|net@23||1|S2700|contact@13||-10.5|-30|contact@11||-10.5|-4 +AMetal-1|net@24||1|S2700|contact@15||-1.5|-30|contact@12||-1.5|-4 +AN-Active|net@25|||S0|nmos@4|diff-bottom|-5.25|-40|nmos@5|diff-top|-7.25|-40 +AN-Active|net@26|||S1800|contact@5||-13|-40.5|nmos@4|diff-top|-12.75|-40.5 +AN-Active|net@27|||S0|contact@6||0.5|-40|nmos@5|diff-bottom|0.25|-40 +APolysilicon-1|net@28|||S2700|nmos@4|poly-right|-9|-33.5|pin@3||-9|-30.5 +APolysilicon-1|net@29|||S2700|nmos@5|poly-right|-3.5|-33.5|pin@4||-3.5|-30 +APolysilicon-1|net@30|||S0|pin@3||-9|-30.5|pin@5||-10.5|-30.5 +APolysilicon-1|net@31||3|S900|contact@13||-10.5|-30|pin@5||-10.5|-30.5 +APolysilicon-1|net@32|||S1800|pin@4||-3.5|-30|contact@16||-1.5|-30 +AMetal-1|net@33|||S2700|contact@15||-1.5|-30|contact@16||-1.5|-30 +AMetal-1|net@34||1|S2700|contact@9||14|-37|contact@7||14|4 +Aartwork:Solid|net@35|||FS0|pin@7||12.5|-43.5|pin@6||-24.5|-43.5 +EI1||D5G2;|contact@11||I +EI2||D5G2;|contact@15||I +EO||D5G2;|contact@7||O +EGND|gnd|D5G2;|contact@5||G +EVdd|vdd|D5G2;|contact@3||P +X + +# Cell Nand2;1{sch} +CNand2;1{sch}||schematic|1610136165903|1610285745086| +Ngeneric:Facet-Center|art@0||0|0||||AV +NOff-Page|conn@0||-25|-0.5|||| +NOff-Page|conn@1||-18.5|-0.5|||| +NOff-Page|conn@2||3.5|-0.5|||| +NGround|gnd@0||-5.5|-15|||| +NTransistor|nmos@2||-7.5|-3.5|||R||ATTR_length(D5G0.5;X-0.5;Y-1;)S2|ATTR_width(D5G1;X0.5;Y-1;)S10 +NTransistor|nmos@3||-7.5|-9.5|||R||ATTR_length(D5G0.5;X-0.5;Y-1;)S2|ATTR_width(D5G1;X0.5;Y-1;)S10 +NWire_Pin|pin@3||-5.5|5|||| +NWire_Pin|pin@6||-16.5|-3.5|||| +NWire_Pin|pin@7||-16.5|2.5|||| +NWire_Pin|pin@8||-23|3|||| +NWire_Pin|pin@9||-23|-9.5|||| +NWire_Pin|pin@10||-5.5|-0.5|||| +NWire_Pin|pin@11||-9|-0.5|||| +NTransistor|pmos@0||-11|3|||R|2|ATTR_length(D5G0.5;X-0.5;Y-1;)S2|ATTR_width(D5G1;X0.5;Y-1;)S10 +NTransistor|pmos@1||-7.5|2.5|||R|2|ATTR_length(D5G0.5;X-0.5;Y-1;)S2|ATTR_width(D5G1;X0.5;Y-1;)S10 +NPower|pwr@0||-5.5|14|||| +Awire|net@6|||900|pwr@0||-5.5|14|pin@3||-5.5|5 +Awire|net@7|||1800|pmos@0|d|-9|5|pin@3||-5.5|5 +Awire|net@13|||900|conn@1|y|-16.5|-0.5|pin@6||-16.5|-3.5 +Awire|net@14|||0|nmos@2|g|-8.5|-3.5|pin@6||-16.5|-3.5 +Awire|net@15|||2700|conn@1|y|-16.5|-0.5|pin@7||-16.5|2.5 +Awire|net@16|||1800|pin@7||-16.5|2.5|pmos@1|g|-8.5|2.5 +Awire|net@17|||2700|conn@0|y|-23|-0.5|pin@8||-23|3 +Awire|net@18|||1800|pin@8||-23|3|pmos@0|g|-12|3 +Awire|net@19|||900|conn@0|y|-23|-0.5|pin@9||-23|-9.5 +Awire|net@20|||1800|pin@9||-23|-9.5|nmos@3|g|-8.5|-9.5 +Awire|net@22|||900|pmos@1|s|-5.5|0.5|pin@10||-5.5|-0.5 +Awire|net@23|||900|pin@10||-5.5|-0.5|nmos@2|d|-5.5|-1.5 +Awire|net@24|||1800|pin@10||-5.5|-0.5|conn@2|a|1.5|-0.5 +Awire|net@25|||900|pmos@0|s|-9|1|pin@11||-9|-0.5 +Awire|net@26|||0|pin@10||-5.5|-0.5|pin@11||-9|-0.5 +Awire|net@27|||900|nmos@3|s|-5.5|-11.5|gnd@0||-5.5|-13 +Awire|net@29|||900|nmos@2|s|-5.5|-5.5|nmos@3|d|-5.5|-7.5 +Awire|net@30|||900|pin@3||-5.5|5|pmos@1|d|-5.5|4.5 +EI1||D5G2;|conn@0|a|I +EI2||D5G2;|conn@1|a|I +EO||D5G2;|conn@2|a|O +X diff --git a/Lab1Detail.png b/Lab1/Lab1Detail.png similarity index 100% rename from Lab1Detail.png rename to Lab1/Lab1Detail.png diff --git a/Lab1Detail.xml b/Lab1/Lab1Detail.xml similarity index 100% rename from Lab1Detail.xml rename to Lab1/Lab1Detail.xml diff --git a/Lab1/lab1.tex b/Lab1/lab1.tex new file mode 100644 index 0000000..1a8c923 --- /dev/null +++ b/Lab1/lab1.tex @@ -0,0 +1,28 @@ +\documentclass{article} +\usepackage[margin=1in]{geometry} +\usepackage{graphicx} +\begin{document} +\section*{Lab 1} +In my drawing (figure \ref{fig:lab1}), I drew a few of the details mentioned in Chapter 3 of the textbook. +A few clarifying notes: + +\begin{itemize} + \item I included the shallow and deep n+ wells, and the SiN spacers that were + used to create them. According to the book, the shallow n+ wells help prevent + hot electron damage and reduce short channel effects. However, the deeper + wells are used to reduce resistance. + \item I also added the silicide layer, which is used, from my understanding, + to improve the conduactance of the transistor terminals. This layer + is drawn in purple. + \item I drew the the vias as metal 1 (solid blue). Electric colors them black, + and apparently, tungsten is occasionally used to ensure better connections + of the vias themselves. +\end{itemize} + +\begin{figure}[h] + \centering + \includegraphics[width=0.8\linewidth]{Lab1Detail.png} + \caption{Drawing of cross section specified in Electric Lab.} + \label{fig:lab1} +\end{figure} +\end{document}