VerilogCPU/mux2.sv

9 lines
214 B
Systemverilog

/* A two-input multiplexer.
*/
module mux2 #(width=32)
(input logic [width-1:0] left, right,
input logic select,
output logic [width-1:0] out);
assign out = select ? right : left;
endmodule