VerilogCPU/mux2.sv

6 lines
178 B
Systemverilog
Executable File

module mux2 #(width=32)
(input logic [width-1:0] left, right,
input logic select,
output logic [width-1:0] out);
assign out = select ? right : left;
endmodule